Index of /alpine/edge/testing/armv7

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]3proxy-0.9.4-r2.apk2025-04-04 05:41 295K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-04 05:41 25K 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-04 05:41 1.6K 
[   ]66-0.8.2.1-r0.apk2025-06-03 19:11 307K 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-03 19:11 762K 
[   ]66-dev-0.8.2.1-r0.apk2025-06-03 19:11 1.6M 
[   ]66-doc-0.8.2.1-r0.apk2025-06-03 19:11 190K 
[   ]66-init-0.8.2.1-r0.apk2025-06-03 19:11 1.5K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-01 15:47 57K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-01 15:47 111K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-01 15:47 2.0K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-01 15:47 39K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-01 15:47 2.9K 
[   ]APKINDEX.tar.gz2025-06-27 13:10 925K 
[   ]a2jmidid-9-r3.apk2024-10-25 19:05 25K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 19:05 4.2K 
[   ]abc-0_git20240102-r0.apk2024-10-25 19:05 4.4M 
[   ]abnfgen-0.21-r0.apk2025-05-27 21:41 15K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 21:41 5.1K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 19:05 142K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 19:05 49K 
[   ]acmetool-0.2.2-r13.apk2025-05-12 07:05 4.4M 
[   ]acmetool-doc-0.2.2-r13.apk2025-05-12 07:05 47K 
[   ]adbd-0_git20250325-r1.apk2025-05-11 11:57 34K 
[   ]adguardhome-0.107.62-r0.apk2025-06-11 12:36 10M 
[   ]adguardhome-openrc-0.107.62-r0.apk2025-06-11 12:36 2.2K 
[   ]adjtimex-1.29-r0.apk2024-10-25 19:05 19K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 19:05 7.1K 
[   ]admesh-0.98.5-r0.apk2024-10-25 19:05 24K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 19:05 4.0K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 19:05 23K 
[   ]advancemame-3.9-r4.apk2024-10-25 19:05 12M 
[   ]advancemame-data-3.9-r4.apk2024-10-25 19:05 5.8M 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 19:05 374K 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 19:05 876K 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 19:05 3.6M 
[   ]advancescan-1.18-r1.apk2024-10-25 19:05 223K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 19:05 7.3K 
[   ]afetch-2.2.0-r1.apk2024-10-25 19:05 9.2K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 19:05 14K 
[   ]afew-3.0.1-r0.apk2025-05-18 22:30 73K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 22:30 12K 
[   ]agate-3.3.8-r0.apk2024-10-25 19:05 673K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 19:05 2.0K 
[   ]agrep-0.8.0-r2.apk2024-10-25 19:05 7.8K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 19:05 4.1K 
[   ]aide-0.18.8-r0.apk2024-10-25 19:05 72K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 19:05 14K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 19:05 6.3K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 19:05 19K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 19:05 2.5K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 19:05 3.9K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 19:05 3.7K 
[   ]alpine-lift-0.2.0-r23.apk2025-05-12 07:05 3.6MAlpine Linux
[   ]alps-0_git20230807-r12.apk2025-05-12 07:05 5.4M 
[   ]alps-openrc-0_git20230807-r12.apk2025-05-12 07:05 2.0K 
[   ]alttab-1.7.1-r0.apk2024-10-25 19:05 35K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 19:05 10K 
[   ]amber-0.4.0-r0.apk2025-06-20 04:10 481K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 17:00 183K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 17:00 6.7K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 19:05 14K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 19:05 9.6K 
[   ]amiitool-2-r2.apk2024-10-25 19:05 6.3K 
[   ]ampy-1.1.0-r6.apk2025-03-19 11:43 15K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-19 11:43 4.1K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-19 11:43 19K 
[   ]amule-2.3.3-r13.apk2024-10-25 19:05 3.8M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 19:05 281K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 19:05 1.6M 
[   ]anarch-1.0-r1.apk2024-10-25 19:05 90K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 19:05 18K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 19:05 280K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 19:05 59K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 19:05 193K 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 19:05 1.7M 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:02 183K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:02 100K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:02 1.6K 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:02 117K 
[   ]android-translation-layer-0_git20250402-r0.apk2025-04-02 17:07 2.7M 
[   ]android-translation-layer-dbg-0_git20250402-r0.apk2025-04-02 17:07 813K 
[   ]angband-4.2.5-r0.apk2024-10-25 19:05 23M 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 19:05 36K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 19:05 10K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 19:05 65K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 19:05 4.7K 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 19:05 3.0K 
[   ]antibody-6.1.1-r28.apk2025-05-12 07:05 1.7M 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 14:11 1.5M 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 14:11 24K 
[   ]anubis-1.19.1-r0.apk2025-06-02 10:37 5.6M 
[   ]anubis-doc-1.19.1-r0.apk2025-06-02 10:37 2.3K 
[   ]anubis-openrc-1.19.1-r0.apk2025-06-02 10:37 1.8K 
[   ]aoetools-37-r2.apk2025-01-14 13:44 19K 
[   ]aoetools-doc-37-r2.apk2025-01-14 13:44 14K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 19:05 51K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 06:51 215K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 06:51 4.4K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 06:51 278K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 19:05 6.9K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 19:05 10K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 10:23 10K 
[   ]apache2-mod-perl-2.0.13-r1.apk2024-10-25 19:05 628K 
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-10-25 19:05 79K 
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-10-25 19:05 40K 
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-10-25 19:05 303K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 19:05 4.6K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-20 02:43 13K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-20 02:43 7.0K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 19:05 6.6K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 19:05 20K 
[   ]apk-tools3-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 48K 
[   ]apk-tools3-dbg-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 676K 
[   ]apk-tools3-dev-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 24K 
[   ]apk-tools3-doc-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 44K 
[   ]apk-tools3-static-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 1.9M 
[   ]apmpkg-1.5.1-r3.apk2024-10-25 19:05 1.4M 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-25 19:05 2.2K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-25 19:05 3.0K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-25 19:05 2.0K 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-25 19:05 2.4K 
[   ]aports-glmr-0.2-r28.apk2025-05-12 07:05 2.5M 
[   ]appcenter-8.0.0-r0.apk2024-11-12 21:55 382K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 21:55 258K 
[   ]aprilsh-0.7.12-r5.apk2025-05-12 07:05 1.6K 
[   ]aprilsh-client-0.7.12-r5.apk2025-05-12 07:05 3.2M 
[   ]aprilsh-doc-0.7.12-r5.apk2025-05-12 07:05 14K 
[   ]aprilsh-openrc-0.7.12-r5.apk2025-05-12 07:05 1.8K 
[   ]aprilsh-server-0.7.12-r5.apk2025-05-12 07:05 2.4M 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 19:05 53K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 19:05 9.9K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 19:05 13K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 19:05 9.4K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 19:05 4.6K 
[   ]apt-swarm-0.5.1-r0.apk2025-05-26 10:56 2.7M 
[   ]apt-swarm-bash-completion-0.5.1-r0.apk2025-05-26 10:56 4.2K 
[   ]apt-swarm-fish-completion-0.5.1-r0.apk2025-05-26 10:56 5.7K 
[   ]apt-swarm-openrc-0.5.1-r0.apk2025-05-26 10:56 1.9K 
[   ]apt-swarm-zsh-completion-0.5.1-r0.apk2025-05-26 10:56 5.9K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:44 84K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:44 3.4K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:44 13K 
[   ]apulse-0.1.13-r2.apk2024-10-25 19:05 33K 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 19:05 2.8K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 19:05 1.5M 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 19:05 7.5K 
[   ]arc-20221218-r0.apk2024-10-25 19:05 1.7K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 19:05 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 19:05 1.8K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 19:05 68K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 19:05 27K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 19:05 38K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 19:05 93K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 19:05 86K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 19:05 17K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 19:05 7.9K 
[   ]arc-darker-20221218-r0.apk2024-10-25 19:05 1.8K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 19:05 39K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 19:05 124K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 19:05 110K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 19:05 17K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 19:05 7.9K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 19:05 29K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 19:05 38K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 19:05 126K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 19:05 114K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 19:05 4.4M 
[   ]arc-lighter-20221218-r0.apk2024-10-25 19:05 1.8K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 19:05 38K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 19:05 125K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 19:05 113K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 19:05 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 19:05 7.7K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 19:05 17K 
[   ]arc-theme-20221218-r0.apk2024-10-25 19:05 1.4K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 19:05 7.7K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 19:05 1.5M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 19:05 92K 
[   ]art_standalone-0_git20250325-r1.apk2025-05-11 11:57 17M 
[   ]art_standalone-dbg-0_git20250325-r1.apk2025-05-11 11:57 132M 
[   ]art_standalone-dev-0_git20250325-r1.apk2025-05-11 11:57 8.6M 
[   ]asdf-0.18.0-r0.apk2025-06-07 15:21 1.6M 
[   ]asdf-doc-0.18.0-r0.apk2025-06-07 15:21 2.2K 
[   ]aspell-es-1.11-r0.apk2024-10-25 19:05 533K 
[   ]aspnetcore6-runtime-6.0.36-r1.apk2024-11-25 05:19 8.6M 
[   ]aspnetcore6-targeting-pack-6.0.36-r1.apk2024-11-25 05:19 2.0M 
[   ]asymptote-3.04-r0.apk2025-05-31 06:51 1.3M 
[   ]asymptote-doc-3.04-r0.apk2025-05-31 06:51 3.1M 
[   ]atac-0.18.1-r0.apk2024-11-25 21:43 4.3M 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 19:05 354K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 19:05 79K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 19:05 69K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 19:05 107K 
[   ]atool-0.39.0-r4.apk2024-10-25 19:05 18K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 19:05 2.0K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 19:05 9.6K 
[   ]aufs-util-20161219-r3.apk2024-10-25 19:05 159K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 19:05 1.5K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 19:05 34K 
[   ]authenticator-rs-0.7.5-r0.apk2024-10-25 19:05 1.8M 
[   ]authenticator-rs-lang-0.7.5-r0.apk2024-10-25 19:05 3.7K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 19:05 5.5K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 19:05 35K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 19:05 12K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 19:05 54K 
[   ]autorestic-1.8.3-r5.apk2025-05-12 07:05 3.7M 
[   ]autoscan-1.4.0-r11.apk2025-05-12 07:05 5.2M 
[   ]autoscan-openrc-1.4.0-r11.apk2025-05-12 07:05 2.1K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 19:05 23K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 19:05 14K 
[   ]avahi2dns-0.1.0-r1.apk2025-05-12 07:05 2.4M 
[   ]avahi2dns-openrc-0.1.0-r1.apk2025-05-12 07:05 1.8K 
[   ]avara-0.7.1-r1.apk2024-11-04 08:52 21M 
[   ]avarice-2.14-r4.apk2024-10-25 19:05 76K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 19:05 9.4K 
[   ]avra-1.4.2-r0.apk2024-10-25 19:05 34K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 19:05 255K 
[   ]azote-1.14.0-r0.apk2024-12-14 20:38 7.6M 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 20:38 98K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 12:34 653K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 12:34 42K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 19:05 737K 
[   ]b2-tools-4.3.2-r0.apk2025-05-11 11:57 72K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-11 11:57 136K 
[   ]b2sum-20190729-r2.apk2024-10-25 19:05 15K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 19:05 2.7K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 19:05 55K 
[   ]bacon-3.16.0-r0.apk2025-06-23 13:34 1.6M 
[   ]baikal-0.10.1-r1.apk2025-05-27 21:41 1.3M 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 21:41 1.3K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 21:41 1.3K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 21:41 1.4K 
[   ]bake-2.5.1-r0.apk2024-10-25 19:05 98K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 19:05 36K 
[   ]bananui-2.0.0-r0.apk2024-10-25 19:05 48K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 19:05 6.6K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 19:05 37K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 19:05 173K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 19:05 7.9K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 19:05 81K 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 19:05 87K 
[   ]baresip-3.20.0-r1.apk2025-06-01 15:47 1.0M 
[   ]baresip-dev-3.20.0-r1.apk2025-06-01 15:47 16K 
[   ]barman-3.14.1-r0.apk2025-06-25 14:18 361K 
[   ]barman-bash-completion-3.14.1-r0.apk2025-06-25 14:18 1.6K 
[   ]barman-doc-3.14.1-r0.apk2025-06-25 14:18 85K 
[   ]barman-pyc-3.14.1-r0.apk2025-06-25 14:18 572K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 19:05 111K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 19:05 2.8K 
[   ]barrier-2.4.0-r2.apk2025-02-22 12:17 860K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-22 12:17 13K 
[   ]bartib-1.0.1-r1.apk2024-10-25 19:05 312K 
[   ]base64c-0.2.1-r0.apk2024-10-25 19:05 4.2K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 19:05 5.0K 
[   ]bash-pinyin-completion-rs-0.2.3-r0.apk2025-05-11 11:57 592K 
[   ]bash-pinyin-completion-rs-doc-0.2.3-r0.apk2025-05-11 11:57 14K 
[   ]batmon-0.0.1-r0.apk2024-10-25 19:05 383K 
[   ]battery-limit-openrc-1-r0.apk2025-06-20 04:10 1.8K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 19:05 32K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 19:05 3.5K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 19:05 7.0K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 19:05 3.0K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 19:05 131K 
[   ]beancount-language-server-1.3.6-r0.apk2024-11-05 23:38 1.1M 
[   ]beard-0.4-r0.apk2024-10-25 19:05 3.1K 
[   ]beard-doc-0.4-r0.apk2024-10-25 19:05 2.5K 
[   ]bees-0.10-r2.apk2024-10-25 19:05 281K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 19:05 1.9K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:48 12K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:48 188K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:52 576K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:52 54K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:52 98K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:52 15K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 19:05 89K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 19:05 18K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 19:05 1.7K 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 19:05 18M 
[   ]bettercap-2.41.0-r2.apk2025-05-29 11:58 19M 
[   ]bettercap-doc-2.41.0-r2.apk2025-05-29 11:58 14K 
[   ]bgpq4-1.15-r0.apk2024-10-25 19:05 31K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 19:05 6.3K 
[   ]bgs-0.8-r1.apk2024-10-25 19:05 5.6K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 19:05 2.3K 
[   ]biboumi-9.0-r8.apk2025-05-29 05:44 253K 
[   ]biboumi-doc-9.0-r8.apk2025-05-29 05:44 1.5K 
[   ]biboumi-openrc-9.0-r8.apk2025-05-29 05:44 1.9K 
[   ]bindfs-1.17.7-r1.apk2025-06-20 04:10 20K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-20 04:10 9.1K 
[   ]biometryd-0.3.1-r7.apk2025-02-22 12:17 265K 
[   ]biometryd-dev-0.3.1-r7.apk2025-02-22 12:17 13K 
[   ]bionic_translation-0_git20250324-r0.apk2025-03-24 22:46 44K 
[   ]bionic_translation-dbg-0_git20250324-r0.apk2025-03-24 22:46 139K 
[   ]bionic_translation-dev-0_git20250324-r0.apk2025-03-24 22:46 1.7K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 19:05 388K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 19:05 50K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 19:05 41K 
[   ]bitritter-0.1.1-r0.apk2024-10-25 19:05 1.9M 
[   ]bkt-0.8.0-r0.apk2024-10-25 19:05 313K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 19:05 7.3K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 19:05 16K 
[   ]blip-0.10-r0.apk2024-10-25 19:05 15K 
[   ]blip-doc-0.10-r0.apk2024-10-25 19:05 30K 
[   ]bliss-0.77-r1.apk2024-10-25 19:05 55K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 19:05 94K 
[   ]bluez-tools-0_git20201025-r0.apk2025-06-09 08:39 222K 
[   ]bluez-tools-doc-0_git20201025-r0.apk2025-06-09 08:39 15K 
[   ]bmk-0.1-r0.apk2025-06-21 07:06 22K 
[   ]bmk-doc-0.1-r0.apk2025-06-21 07:06 6.6K 
[   ]bobcat-4.09.00-r0.apk2024-10-25 19:05 640K 
[   ]bobcat-dev-4.09.00-r0.apk2024-10-25 19:05 696K 
[   ]bobcat-doc-4.09.00-r0.apk2024-10-25 19:05 345K 
[   ]bochs-2.8-r1.apk2025-02-22 12:17 888K 
[   ]bochs-doc-2.8-r1.apk2025-02-22 12:17 139K 
[   ]boinc-7.24.3-r0.apk2024-10-25 19:05 1.5M 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 19:05 578K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 19:05 8.0K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 19:06 1.0M 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 19:06 877K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 19:06 198K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 19:06 119K 
[   ]bomctl-0.1.9-r6.apk2025-05-12 07:05 8.9M 
[   ]bomctl-bash-completion-0.1.9-r6.apk2025-05-12 07:05 5.1K 
[   ]bomctl-fish-completion-0.1.9-r6.apk2025-05-12 07:05 4.3K 
[   ]bomctl-zsh-completion-0.1.9-r6.apk2025-05-12 07:05 4.1K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 19:06 587K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 10:13 135K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 19:06 19K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 19:06 8.2K 
[   ]bootloose-0.7.1-r11.apk2025-05-12 07:05 2.1M 
[   ]bootterm-0.5-r0.apk2024-10-25 19:06 17K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 19:06 2.3K 
[   ]bore-0.5.2-r0.apk2024-12-15 19:18 492K 
[   ]boson-0_git20211219-r0.apk2024-10-25 19:06 15K 
[   ]botan2-2.19.5-r0.apk2025-05-29 05:44 358K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-29 05:44 311K 
[   ]botan2-doc-2.19.5-r0.apk2025-05-29 05:44 306K 
[   ]botan2-libs-2.19.5-r0.apk2025-05-29 05:44 2.3M 
[   ]boxes-2.3.1-r0.apk2024-10-25 19:06 71K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 19:06 7.1K 
[   ]brial-1.2.11-r4.apk2024-10-25 19:06 1.0M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 19:06 1.7M 
[   ]brltty-6.7-r1.apk2025-03-29 18:40 1.9M 
[   ]brltty-dev-6.7-r1.apk2025-03-29 18:40 140K 
[   ]brltty-doc-6.7-r1.apk2025-03-29 18:40 9.4K 
[   ]brltty-lang-6.7-r1.apk2025-03-29 18:40 149K 
[   ]brltty-static-6.7-r1.apk2025-03-29 18:40 22K 
[   ]btfs-2.24-r12.apk2024-10-25 19:06 27K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 19:06 2.4K 
[   ]btpd-0.16-r2.apk2024-10-25 19:06 62K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 19:06 8.4K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 19:06 633K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 19:06 21K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 19:06 29K 
[   ]burp-3.1.4-r0.apk2024-10-25 19:06 151K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 19:06 99K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 19:06 36K 
[   ]butane-0.24.0-r0.apk2025-05-29 23:50 3.1M 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 19:06 14K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 19:06 2.5K 
[   ]bzmenu-0.2.1-r0.apk2025-05-18 22:30 1.0M 
[   ]cadence-0.9.2-r0.apk2024-10-25 19:06 1.9M 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 19:06 100K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 19:06 3.2K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 19:06 34K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 19:06 22K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 10:07 43K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 10:07 37K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 19:06 4.3K 
[   ]care-2.3.0-r1.apk2024-10-25 19:06 82K 
[   ]care-doc-2.3.0-r1.apk2024-10-25 19:06 7.9K 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 16:14 5.5M 
[   ]cargo-geiger-0.12.0-r0.apk2025-05-26 11:09 4.7M 
[   ]cargo-geiger-doc-0.12.0-r0.apk2025-05-26 11:09 7.7K 
[   ]cargo-generate-0.23.3-r0.apk2025-05-13 21:38 2.1M 
[   ]cargo-machete-0.8.0-r0.apk2025-05-25 23:25 1.1M 
[   ]cargo-machete-doc-0.8.0-r0.apk2025-05-25 23:25 4.0K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 19:06 383K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 19:06 5.0K 
[   ]cargo-show-asm-0.2.49-r0.apk2025-04-13 10:47 765K 
[   ]cargo-show-asm-doc-0.2.49-r0.apk2025-04-13 10:47 10K 
[   ]cargo-shuttle-0.55.0-r0.apk2025-05-22 14:28 4.1M 
[   ]cargo-shuttle-bash-completion-0.55.0-r0.apk2025-05-22 14:28 4.9K 
[   ]cargo-shuttle-doc-0.55.0-r0.apk2025-05-22 14:28 8.9K 
[   ]cargo-shuttle-fish-completion-0.55.0-r0.apk2025-05-22 14:28 8.2K 
[   ]cargo-shuttle-zsh-completion-0.55.0-r0.apk2025-05-22 14:28 7.7K 
[   ]cargo-udeps-0.1.56-r2.apk2025-06-08 16:07 4.1M 
[   ]cargo-udeps-doc-0.1.56-r2.apk2025-06-08 16:07 7.5K 
[   ]cargo-update-16.2.1-r0.apk2025-03-23 13:24 1.0M 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-23 13:24 8.3K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2024-10-25 19:06 382K 
[   ]castero-0.9.5-r4.apk2025-05-14 18:17 50K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 18:17 94K 
[   ]castor-0.9.0-r2.apk2024-10-25 19:06 650K 
[   ]catcodec-1.0.5-r2.apk2024-10-25 19:06 11K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 19:06 4.9K 
[   ]catdoc-0.95-r1.apk2024-10-25 19:06 106K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 19:06 9.2K 
[   ]catfish-4.20.0-r0.apk2024-12-27 19:25 126K 
[   ]catfish-doc-4.20.0-r0.apk2024-12-27 19:25 13K 
[   ]catfish-lang-4.20.0-r0.apk2024-12-27 19:25 162K 
[   ]catfish-pyc-4.20.0-r0.apk2024-12-27 19:25 101K 
[   ]cava-0.10.4-r1.apk2025-02-22 12:17 40K 
[   ]cc65-2.19-r0.apk2024-10-25 19:06 8.8M 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 19:06 86K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 19:06 53K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 19:06 31K 
[   ]ccze-0.2.1-r1.apk2024-10-25 19:06 69K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 19:06 3.3K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 19:06 8.8K 
[   ]cdba-1.0-r2.apk2024-10-25 19:06 7.3K 
[   ]cdba-server-1.0-r2.apk2024-10-25 19:06 19K 
[   ]cddlib-0.94m-r2.apk2024-10-25 19:06 157K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 19:06 14K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 19:06 864K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 19:06 218K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 19:06 34K 
[   ]cdist-7.0.0-r6.apk2024-10-25 19:06 511K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 19:06 128K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 19:06 33M 
[   ]cellbroadcastd-0.0.2-r0.apk2025-06-27 11:08 48K 
[   ]cellbroadcastd-dev-0.0.2-r0.apk2025-06-27 11:08 30K 
[   ]cellbroadcastd-libs-0.0.2-r0.apk2025-06-27 11:08 21K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:06 9.3K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:06 4.2K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 19:06 8.8K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 19:06 3.9K 
[   ]certigo-1.16.0-r23.apk2025-05-12 07:05 3.6M 
[   ]certstrap-1.3.0-r24.apk2025-05-12 07:05 2.3M 
[   ]cfssl-1.6.5-r5.apk2025-05-12 07:05 29M 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 19:06 132K 
[   ]cgo-0.6.1-r1.apk2024-10-25 19:06 9.3K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 19:06 4.1K 
[   ]chamo-4.0-r0.apk2024-10-25 19:06 6.2M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 19:06 1.4M 
[   ]chamo-dev-4.0-r0.apk2024-10-25 19:06 4.1M 
[   ]charls-2.4.2-r0.apk2024-10-25 19:06 56K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 19:06 27K 
[   ]chasquid-1.15.0-r4.apk2025-05-12 07:05 11M 
[   ]chasquid-doc-1.15.0-r4.apk2025-05-12 07:05 15K 
[   ]chasquid-openrc-1.15.0-r4.apk2025-05-12 07:05 2.0K 
[   ]chawan-0.2.1-r0.apk2025-06-26 00:06 3.4M 
[   ]chawan-doc-0.2.1-r0.apk2025-06-26 00:06 51K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 19:06 335K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 19:06 4.1K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:40 2.5M 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:40 2.1K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:40 859K 
[   ]chicago95-3.0.1_git20240619-r0.apk2024-10-25 19:06 481K 
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-10-25 19:06 215K 
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-10-25 19:06 12M 
[   ]chim-1.1.2-r1.apk2024-10-25 19:06 1.4M 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 19:06 2.8K 
[   ]chimerautils-14.2.1-r0.apk2025-05-25 23:19 1.1M 
[   ]chimerautils-dbg-14.2.1-r0.apk2025-05-25 23:19 3.1M 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 19:06 1.5M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 19:06 231K 
[   ]cilium-cli-0.16.13-r5.apk2025-05-12 07:05 54M 
[   ]cilium-cli-bash-completion-0.16.13-r5.apk2025-05-12 07:05 5.1K 
[   ]cilium-cli-fish-completion-0.16.13-r5.apk2025-05-12 07:05 4.3K 
[   ]cilium-cli-zsh-completion-0.16.13-r5.apk2025-05-12 07:05 4.0K 
[   ]cimg-3.4.1-r0.apk2024-10-25 19:06 826K 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 19:06 19K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 19:06 1.1M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 19:06 18K 
[   ]ckb-next-0.6.2-r0.apk2025-03-19 11:43 1.3M 
[   ]ckb-next-daemon-0.6.2-r0.apk2025-03-19 11:43 69K 
[   ]ckb-next-daemon-openrc-0.6.2-r0.apk2025-03-19 11:43 1.8K 
[   ]ckb-next-dev-0.6.2-r0.apk2025-03-19 11:43 4.9K 
[   ]clatd-1.6-r0.apk2024-10-25 19:06 13K 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 12:11 5.7M 
[   ]clevis-21-r0.apk2025-01-25 07:03 49K 
[   ]clevis-bash-completion-21-r0.apk2025-01-25 07:03 2.0K 
[   ]clevis-dbg-21-r0.apk2025-01-25 07:03 62K 
[   ]clevis-doc-21-r0.apk2025-01-25 07:03 23K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 19:06 4.6K 
[   ]click-0.5.2-r4.apk2025-02-22 12:17 149K 
[   ]click-dev-0.5.2-r4.apk2025-02-22 12:17 9.1K 
[   ]click-doc-0.5.2-r4.apk2025-02-22 12:17 3.3K 
[   ]click-pyc-0.5.2-r4.apk2025-02-22 12:17 175K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 19:06 42K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 19:06 6.5K 
[   ]cliphist-0.6.1-r5.apk2025-05-12 07:05 912K 
[   ]cliphist-fzf-0.6.1-r5.apk2025-05-12 07:05 1.8K 
[   ]clipit-1.4.5-r3.apk2024-10-25 19:06 63K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 19:06 2.4K 
[   ]cliquer-1.22-r2.apk2024-10-25 19:06 6.8K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 19:06 7.4K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 19:06 21K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 19:06 23K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 19:06 24K 
[   ]cln-1.3.7-r1.apk2025-05-25 07:49 418K 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 07:49 1.1M 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 07:49 77K 
[   ]cloudflared-2024.12.1-r6.apk2025-06-25 03:44 8.9M 
[   ]cloudflared-doc-2024.12.1-r6.apk2025-06-25 03:44 1.9K 
[   ]cloudflared-openrc-2024.12.1-r6.apk2025-06-25 03:44 1.8K 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 19:06 242K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 19:06 1.0M 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 19:06 33K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 19:06 103K 
[   ]cmusfm-0.5.0-r0.apk2024-10-25 19:06 13K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 19:06 6.7M 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 19:06 2.9K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 19:06 16K 
[   ]cocogitto-6.3.0-r0.apk2025-03-21 19:28 1.7M 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-21 19:28 3.0K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-21 19:28 38K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-21 19:28 3.3K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-21 19:28 3.0K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:34 316K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:34 8.0K 
[   ]codec2-1.2.0-r0.apk2025-05-25 22:14 656K 
[   ]codec2-dev-1.2.0-r0.apk2025-05-25 22:14 15K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 19:06 4.0K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 19:06 2.7K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 19:06 4.0K 
[   ]comics-downloader-0.33.8-r10.apk2025-05-12 07:05 3.7M 
[   ]comics-downloader-gui-0.33.8-r10.apk2025-05-12 07:05 5.4M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-11 11:57 1.9M 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 19:06 231K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 19:06 173K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 19:06 15K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 19:06 35K 
[   ]compiz-0.9.14.2-r11.apk2025-06-12 12:11 5.3M 
[   ]compiz-dev-0.9.14.2-r11.apk2025-06-12 12:11 117K 
[   ]compiz-lang-0.9.14.2-r11.apk2025-06-12 12:11 1.2M 
[   ]compiz-pyc-0.9.14.2-r11.apk2025-06-12 12:11 112K 
[   ]compiz-utils-0.9.14.2-r11.apk2025-06-12 12:11 3.3K 
[   ]conntracct-0.2.7-r31.apk2025-05-12 07:05 4.9M 
[   ]conntracct-openrc-0.2.7-r31.apk2025-05-12 07:05 1.9K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 19:06 9.0K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 19:06 4.7K 
[   ]consul-replicate-0.4.0-r31.apk2025-05-12 07:06 2.8M 
[   ]contractor-0.3.5-r0.apk2024-11-12 21:54 23K 
[   ]convert2json-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-bson-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-bson-jaq-2.2.2-r0.apk2025-05-26 00:38 229K 
[   ]convert2json-bson-json-2.2.2-r0.apk2025-05-26 00:38 222K 
[   ]convert2json-cbor-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-cbor-jaq-2.2.2-r0.apk2025-05-26 00:38 201K 
[   ]convert2json-cbor-json-2.2.2-r0.apk2025-05-26 00:38 193K 
[   ]convert2json-csv-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-csv-jaq-2.2.2-r0.apk2025-05-26 00:38 218K 
[   ]convert2json-csv-json-2.2.2-r0.apk2025-05-26 00:38 211K 
[   ]convert2json-doc-2.2.2-r0.apk2025-05-26 00:38 13K 
[   ]convert2json-ini-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-ini-jaq-2.2.2-r0.apk2025-05-26 00:38 182K 
[   ]convert2json-ini-json-2.2.2-r0.apk2025-05-26 00:38 175K 
[   ]convert2json-jaq-2.2.2-r0.apk2025-05-26 00:38 1.4K 
[   ]convert2json-json-2.2.2-r0.apk2025-05-26 00:38 1.4K 
[   ]convert2json-messagepack-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-messagepack-jaq-2.2.2-r0.apk2025-05-26 00:38 198K 
[   ]convert2json-messagepack-json-2.2.2-r0.apk2025-05-26 00:38 191K 
[   ]convert2json-plist-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-plist-jaq-2.2.2-r0.apk2025-05-26 00:38 236K 
[   ]convert2json-plist-json-2.2.2-r0.apk2025-05-26 00:38 229K 
[   ]convert2json-rsv-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-rsv-jaq-2.2.2-r0.apk2025-05-26 00:38 162K 
[   ]convert2json-rsv-json-2.2.2-r0.apk2025-05-26 00:38 154K 
[   ]convert2json-toml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-toml-jaq-2.2.2-r0.apk2025-05-26 00:38 241K 
[   ]convert2json-toml-json-2.2.2-r0.apk2025-05-26 00:38 234K 
[   ]convert2json-xml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-xml-jaq-2.2.2-r0.apk2025-05-26 00:38 193K 
[   ]convert2json-xml-json-2.2.2-r0.apk2025-05-26 00:38 186K 
[   ]convert2json-yaml-2.2.2-r0.apk2025-05-26 00:38 1.3K 
[   ]convert2json-yaml-jaq-2.2.2-r0.apk2025-05-26 00:38 259K 
[   ]convert2json-yaml-json-2.2.2-r0.apk2025-05-26 00:38 253K 
[   ]copyq-10.0.0-r0.apk2025-06-25 13:53 2.4M 
[   ]copyq-bash-completion-10.0.0-r0.apk2025-06-25 13:53 2.3K 
[   ]copyq-doc-10.0.0-r0.apk2025-06-25 13:53 3.5K 
[   ]corosync-3.1.9-r0.apk2025-05-29 05:44 236K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 05:44 426K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 05:44 190K 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 05:44 1.8K 
[   ]cortex-tenant-1.15.2-r6.apk2025-05-12 07:06 4.1M 
[   ]cortex-tenant-openrc-1.15.2-r6.apk2025-05-12 07:06 2.0K 
[   ]cosmic-icons-1.0.0_alpha7-r0.apk2025-05-11 11:57 231K 
[   ]cowsay-3.04-r2.apk2024-10-25 19:06 18K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 19:06 4.0K 
[   ]coxeter-3.0-r1.apk2024-10-25 19:06 48K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 19:06 57K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 19:06 267K 
[   ]cpdf-2.8.1-r0.apk2025-05-11 11:57 2.0M 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-11 11:57 558K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 19:06 6.5K 
[   ]cpplint-2.0.2-r0.apk2025-04-14 00:06 80K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-14 00:06 99K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 19:06 10K 
[   ]cpufetch-1.06-r0.apk2024-10-25 19:06 41K 
[   ]cpufetch-doc-1.06-r0.apk2024-10-25 19:06 3.1K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 19:06 29K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 19:06 44K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 19:06 2.9K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 19:06 31K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 19:06 8.7K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 19:06 83K 
[   ]crispy-doom-7.0-r0.apk2024-10-25 19:06 1.6M 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 19:06 107K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 19:06 30K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 19:06 39K 
[   ]crowdsec-1.6.9-r0.apk2025-06-20 04:10 34M 
[   ]crowdsec-email-plugin-1.6.9-r0.apk2025-06-20 04:10 9.6M 
[   ]crowdsec-http-plugin-1.6.9-r0.apk2025-06-20 04:10 9.6M 
[   ]crowdsec-openrc-1.6.9-r0.apk2025-06-20 04:10 1.8K 
[   ]crowdsec-sentinel-plugin-1.6.9-r0.apk2025-06-20 04:10 9.6M 
[   ]crowdsec-slack-plugin-1.6.9-r0.apk2025-06-20 04:10 9.6M 
[   ]crowdsec-splunk-plugin-1.6.9-r0.apk2025-06-20 04:10 9.6M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:34 1.0M 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:34 13K 
[   ]cscope-15.9-r1.apk2024-10-25 19:06 148K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 19:06 7.5K 
[   ]csfml-2.5.2-r0.apk2024-10-25 19:06 86K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 19:06 77K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 19:06 204K 
[   ]csmith-2.3.0-r2.apk2024-10-25 19:06 273K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 19:06 3.1K 
[   ]csol-1.6.0-r0.apk2024-10-25 19:06 33K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 19:06 3.8K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 19:06 81K 
[   ]cups-pdf-3.0.1-r2.apk2024-10-25 19:06 21K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 19:06 23K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 19:06 6.1K 
[   ]curtail-1.11.1-r0.apk2024-10-25 19:06 27K 
[   ]curtail-lang-1.11.1-r0.apk2024-10-25 19:06 66K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 19:06 1.0M 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 19:06 305K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 19:06 6.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 19:06 3.5K 
[   ]cvise-2.11.0-r0.apk2025-03-10 20:53 4.6M 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-10 20:53 60K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 19:06 43K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 19:06 17K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 19:06 8.6K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 19:06 5.9K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 19:06 2.3K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 19:06 6.2K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 19:06 219K 
[   ]daemontools-0.76-r3.apk2024-10-25 19:06 48K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 19:06 2.0K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 19:06 1.7M 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 19:06 2.2K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 19:06 8.7K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 19:06 1.9K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 19:06 2.3K 
[   ]dart-3.8.1-r0.apk2025-06-12 15:08 47M 
[   ]dart-sdk-3.8.1-r0.apk2025-06-12 15:08 129M 
[   ]dart-stage0-3.8.0_alpha237_p0-r0.apk2025-06-12 15:08 180M 
[   ]dartaotruntime-3.8.1-r0.apk2025-06-12 15:08 1.3M 
[   ]darts-clone-0_git20181117-r1.apk2025-05-25 07:49 25K 
[   ]darts-clone-dev-0_git20181117-r1.apk2025-05-25 07:49 13K 
[   ]dasht-2.4.0-r0.apk2024-10-25 19:06 14K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 19:06 11K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 19:06 2.1K 
[   ]davmail-6.3.0-r0.apk2025-04-17 17:51 9.4M 
[   ]dbmate-2.26.0-r2.apk2025-05-12 07:06 10M 
[   ]dbmate-doc-2.26.0-r2.apk2025-05-12 07:06 2.3K 
[   ]dbus-broker-37-r0.apk2025-06-20 04:10 76K 
[   ]dbus-broker-doc-37-r0.apk2025-06-20 04:10 5.9K 
[   ]dcmtk-3.6.9-r0.apk2025-01-25 07:03 1.2M 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-25 07:03 1.6M 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-25 07:03 257K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-25 07:03 1.7K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 19:06 28K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 19:06 6.6K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 19:06 62K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-19 11:43 19K 
[   ]ddgr-2.2-r0.apk2024-10-25 19:06 20K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 19:06 2.2K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 19:06 12K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 19:06 2.3K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 19:06 2.7K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 19:06 11K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 19:06 5.2K 
[   ]debconf-1.5.82-r0.apk2024-10-25 19:06 69K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 19:06 1.9K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 19:06 27K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 19:06 132K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 19:06 6.7K 
[   ]decoder-0.7.0-r0.apk2025-04-11 18:19 1.8M 
[   ]decoder-lang-0.7.0-r0.apk2025-04-11 18:19 59K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 19:06 26K 
[   ]desed-1.2.1-r1.apk2024-10-25 19:06 342K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 19:06 2.9K 
[   ]desync-0.9.6-r5.apk2025-05-12 07:06 7.4M 
[   ]detox-2.0.0-r0.apk2024-10-25 19:06 109K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 19:06 21K 
[   ]devil-1.8.0-r0.apk2024-10-25 19:06 206K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 19:06 13K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 19:06 1.0M 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 19:06 19K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 19:06 4.1K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 19:06 18K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 19:06 3.6K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 19:06 15K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 19:06 3.4K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 19:06 28K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 19:06 4.1K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 19:06 34K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 19:06 2.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 19:06 5.7K 
[   ]dhewm3-1.5.4-r0.apk2025-02-22 12:17 4.6M 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:49 334K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:49 18K 
[   ]disfetch-3.7-r0.apk2024-10-25 19:06 8.3K 
[   ]diskonaut-0.11.0-r3.apk2024-10-25 19:06 389K 
[   ]diskus-0.8.0-r0.apk2025-05-18 22:20 310K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 19:06 12K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 19:06 6.1K 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 19:06 40K 
[   ]dive-0.13.0-r2.apk2025-05-12 07:06 3.8M 
[   ]dlib-19.24.4-r0.apk2024-10-25 19:06 706K 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 19:06 2.4M 
[   ]dmarc-cat-0.15.0-r5.apk2025-05-12 07:06 2.7M 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:03 25K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:03 1.8K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:03 46K 
[   ]dnscontrol-4.21.0-r0.apk2025-06-05 06:02 14M 
[   ]dnscontrol-doc-4.21.0-r0.apk2025-06-05 06:02 2.3K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 19:06 26K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 19:06 21K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 19:06 5.2K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 19:06 72K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 19:06 35K 
[   ]dnssec-tools-2.2.3-r12.apk2024-10-25 19:06 733K 
[   ]dnssec-tools-dev-2.2.3-r12.apk2024-10-25 19:06 168K 
[   ]dnssec-tools-doc-2.2.3-r12.apk2024-10-25 19:06 315K 
[   ]doasedit-1.0.7-r0.apk2024-10-25 19:06 3.6K 
[   ]docker-auth-1.13.0-r4.apk2025-05-12 07:06 9.6M 
[   ]docker-auth-doc-1.13.0-r4.apk2025-05-12 07:06 10K 
[   ]docker-auth-openrc-1.13.0-r4.apk2025-05-12 07:06 2.1K 
[   ]docker-volume-local-persist-1.3.0-r33.apk2025-05-12 07:06 2.5M 
[   ]docker-volume-local-persist-openrc-1.3.0-r33.apk2025-05-12 07:06 1.8K 
[   ]dockerize-0.9.3-r1.apk2025-05-12 07:06 3.3M 
[   ]dodo-0_git20250313-r0.apk2025-04-24 08:41 187K 
[   ]dodo-pyc-0_git20250313-r0.apk2025-04-24 08:41 87K 
[   ]dooit-3.2.2-r0.apk2025-05-16 07:14 45K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:22 13K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:22 23K 
[   ]dooit-pyc-3.2.2-r0.apk2025-05-16 07:14 100K 
[   ]dotenv-linter-3.3.0-r1.apk2024-10-25 19:06 739K 
[   ]dotnet-bash-completion-6.0.136-r1.apk2024-11-25 05:19 1.8K 
[   ]dotnet-doc-6.0.136-r1.apk2024-11-25 05:19 111K 
[   ]dotnet-host-6.0.36-r1.apk2024-11-25 05:19 30K 
[   ]dotnet-zsh-completion-6.0.136-r1.apk2024-11-25 05:19 1.7K 
[   ]dotnet6-apphost-pack-6.0.36-r1.apk2024-11-25 05:19 3.7M 
[   ]dotnet6-artifacts-6.0.136-r1.apk2024-11-25 05:19 438M 
[   ]dotnet6-build-6.0.136-r1.apk2024-11-25 05:20 1.0G 
[   ]dotnet6-hostfxr-6.0.36-r1.apk2024-11-25 05:20 130K 
[   ]dotnet6-runtime-6.0.36-r1.apk2024-11-25 05:20 26M 
[   ]dotnet6-sdk-6.0.136-r1.apk2024-11-25 05:20 102M 
[   ]dotnet6-stage0-6.0.116-r4.apk2024-10-25 19:06 1.2K 
[   ]dotnet6-stage0-artifacts-6.0.116-r4.apk2024-10-25 19:07 1.0G 
[   ]dotnet6-stage0-bootstrap-6.0.116-r4.apk2024-10-25 19:07 352M 
[   ]dotnet6-targeting-pack-6.0.36-r1.apk2024-11-25 05:20 3.1M 
[   ]dotnet6-templates-6.0.136-r1.apk2024-11-25 05:20 5.8M 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 18:17 2.0K 
[   ]draco-1.5.7-r2.apk2025-02-22 12:17 719K 
[   ]draco-dev-1.5.7-r2.apk2025-02-22 12:17 205K 
[   ]draco-static-1.5.7-r2.apk2025-02-22 12:17 1.6M 
[   ]draco-tools-1.5.7-r2.apk2025-02-22 12:17 1.1M 
[   ]draw-0.1.1-r13.apk2025-05-12 07:06 955K 
[   ]drogon-1.9.4-r2.apk2025-05-22 06:51 1.3M 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 06:51 121K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 06:51 2.3K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 21:25 17K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 21:25 26K 
[   ]drone-cli-1.8.0-r10.apk2025-05-12 07:06 5.5M 
[   ]dropwatch-1.5.5-r0.apk2025-06-25 13:38 14K 
[   ]dropwatch-doc-1.5.5-r0.apk2025-06-25 13:38 3.7K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 19:07 359K 
[   ]drupal7-7.103-r0.apk2024-12-04 17:28 3.3M 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:28 57K 
[   ]dsp-2.0-r0.apk2025-05-12 07:07 141K 
[   ]dsp-doc-2.0-r0.apk2025-05-12 07:07 10K 
[   ]dstask-0.26-r14.apk2025-05-12 07:06 1.4M 
[   ]dstask-bash-completion-0.26-r14.apk2025-05-12 07:06 2.1K 
[   ]dstask-import-0.26-r14.apk2025-05-12 07:06 3.4M 
[   ]dstask-zsh-completion-0.26-r14.apk2025-05-12 07:06 1.7K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 19:07 42K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 19:07 2.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 19:07 6.9K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 19:07 2.3K 
[   ]duc-1.4.5-r0.apk2024-10-25 19:07 83K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 19:07 9.1K 
[   ]duf-0.8.1-r26.apk2025-05-12 07:06 1.0M 
[   ]dufs-0.43.0-r0.apk2025-01-25 07:03 1.3M 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-25 07:03 2.3K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-25 07:03 10K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-25 07:03 2.3K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-25 07:03 2.7K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 19:07 19K 
[   ]dum-0.1.20-r1.apk2025-03-29 18:40 309K 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 19:07 787K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 19:07 5.1M 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 19:07 16K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 19:07 7.6K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 19:07 1.4K 
[   ]dvisvgm-3.4.4-r0.apk2025-05-18 22:44 1.0M 
[   ]dvisvgm-doc-3.4.4-r0.apk2025-05-18 22:44 26K 
[   ]dwl-0.7-r0.apk2024-10-25 19:07 24K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 19:07 3.1K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 740K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 380K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 19:07 4.1K 
[   ]eboard-1.1.3-r1.apk2024-10-25 19:07 1.4M 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 19:07 4.6K 
[   ]ecasound-2.9.3-r4.apk2025-02-24 22:28 616K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-24 22:28 1.1M 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-24 22:28 38K 
[   ]ecos-2.0.10-r0.apk2024-10-25 19:07 35K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 19:07 28K 
[   ]edit-1.2.0-r0.apk2025-06-12 15:08 226K 
[   ]edit-doc-1.2.0-r0.apk2025-06-12 15:08 2.2K 
[   ]edward-1.1.0-r0.apk2024-10-25 19:07 1.7M 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 19:07 5.3K 
[   ]efl-1.28.1-r2.apk2025-03-23 15:03 33M 
[   ]efl-dev-1.28.1-r2.apk2025-03-23 15:03 1.8M 
[   ]efl-gdb-1.28.1-r2.apk2025-03-23 15:03 1.7K 
[   ]eiwd-3.8-r0.apk2025-05-13 17:09 708K 
[   ]eiwd-doc-3.8-r0.apk2025-05-13 17:09 20K 
[   ]eiwd-openrc-3.8-r0.apk2025-05-13 17:09 1.9K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 22:05 67K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 22:05 57K 
[   ]elementary-camera-8.0.1-r0.apk2025-05-13 06:38 83K 
[   ]elementary-camera-lang-8.0.1-r0.apk2025-05-13 06:38 34K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 22:57 80K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 22:57 28K 
[   ]elementary-feedback-8.0.1-r0.apk2025-05-13 06:39 43K 
[   ]elementary-feedback-lang-8.0.1-r0.apk2025-05-13 06:39 46K 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-13 06:37 5.0M 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:05 70K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:05 47K 
[   ]elementary-photos-8.0.1-r0.apk2024-12-02 22:59 1.0M 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-02 22:59 1.0M 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-05-26 09:05 73K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-05-26 09:05 74K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-05-26 09:05 1.8K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:07 83K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:34 1.5M 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 22:06 102K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 22:06 85K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 19:07 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 19:07 108K 
[   ]elfio-3.12-r0.apk2024-10-25 19:07 1.4K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 19:07 55K 
[   ]eludris-0.3.3-r1.apk2024-10-25 19:07 1.5M 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 19:07 2.3K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 19:07 23K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 19:07 43K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 05:42 3.8K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 19:07 55K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 19:07 14K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 19:07 138K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 19:07 23K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 05:11 91K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 19:07 23K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 19:07 6.1K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 19:07 5.9K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 19:07 17K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 19:07 4.3K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 05:42 111K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 05:42 10K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 05:11 291K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 19:07 37K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 19:07 15K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 19:07 62K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 19:07 16K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 19:07 815K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 19:07 9.8K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 19:07 46K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 19:07 9.9K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-12 12:22 367K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-12 12:22 2.3K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 19:07 6.6K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 19:07 29K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 19:07 17K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 19:07 19K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 05:42 11K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 05:42 18K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-05-11 11:57 18K 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-05-11 11:57 14K 
[   ]empede-0.2.3-r0.apk2024-10-25 19:07 1.5M 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 19:07 2.3K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 19:07 1.9K 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 19:07 1.1M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 19:07 3.3M 
[   ]endeavour-43.0-r2.apk2024-12-08 21:36 170K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:36 46K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:36 68K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:36 203K 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 19:07 242M 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 19:07 37K 
[   ]endlessh-1.1-r1.apk2025-05-25 07:49 8.8K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 07:49 2.7K 
[   ]enjoy-0.3-r1.apk2024-10-25 19:07 10K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 19:07 7.0K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 19:07 3.5K 
[   ]envconsul-0.13.3-r3.apk2025-05-12 07:06 4.7M 
[   ]envsubst-0.1-r1.apk2024-10-25 19:07 4.0K 
[   ]epoch-1.3.0-r2.apk2024-10-25 19:07 59K 
[   ]epr-2.4.15-r1.apk2024-10-25 19:07 16K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 19:07 24K 
[   ]ergo-ldap-0.0.1-r17.apk2025-05-12 07:06 2.2M 
[   ]ergo-ldap-doc-0.0.1-r17.apk2025-05-12 07:06 2.3K 
[   ]errands-46.2.8-r0.apk2025-05-22 14:42 84K 
[   ]errands-lang-46.2.8-r0.apk2025-05-22 14:42 71K 
[   ]espeakup-0.90-r2.apk2024-10-25 19:07 10K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 19:07 1.8K 
[   ]esptool-4.8.1-r0.apk2024-10-25 19:07 424K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 19:07 549K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 19:07 552K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 19:07 45K 
[   ]eva-0.3.1-r2.apk2024-10-25 19:07 555K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 14:24 9.9K 
[   ]exabgp-4.2.24-r0.apk2025-03-15 22:11 385K 
[   ]exabgp-doc-4.2.24-r0.apk2025-03-15 22:11 8.0K 
[   ]exabgp-openrc-4.2.24-r0.apk2025-03-15 22:11 2.2K 
[   ]exabgp-pyc-4.2.24-r0.apk2025-03-15 22:11 778K 
[   ]exercism-3.2.0-r13.apk2025-05-14 18:17 4.0M 
[   ]exercism-bash-completion-3.2.0-r13.apk2025-05-14 18:17 2.0K 
[   ]exercism-fish-completion-3.2.0-r13.apk2025-05-14 18:17 2.4K 
[   ]exercism-zsh-completion-3.2.0-r13.apk2025-05-14 18:17 2.1K 
[   ]extrace-0.9-r0.apk2024-10-25 19:07 9.7K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 19:07 3.5K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 19:07 40M 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 19:07 6.7K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 19:07 39K 
[   ]f_scripts-0.6-r1.apk2024-10-25 19:07 1.4K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 19:07 3.3K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 19:07 2.9K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 19:07 1.9K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 19:07 2.1K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 19:07 3.0K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 19:07 6.0K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 19:07 2.5K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 19:07 2.6K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 19:07 2.4K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 19:07 2.9K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 19:07 2.8K 
[   ]fabric-3.2.2-r1.apk2024-10-25 19:07 55K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 19:07 60K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 19:07 25K 
[   ]fastd-23-r0.apk2025-01-27 21:33 64K 
[   ]fastd-doc-23-r0.apk2025-01-27 21:33 3.3K 
[   ]fastd-openrc-23-r0.apk2025-01-27 21:33 1.7K 
[   ]fatback-1.3-r2.apk2024-10-25 19:07 24K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 19:07 16K 
[   ]fathom-1.3.1-r13.apk2025-05-12 07:06 4.7M 
[   ]fatrace-0.17.0-r0.apk2024-10-25 19:07 10K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 19:07 3.3K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 19:07 8.5K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 19:07 15K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 19:07 12K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 19:07 2.3K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 19:07 3.0K 
[   ]faust-2.79.3-r0.apk2025-06-07 17:02 7.4M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 17:02 1.4M 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 17:02 17M 
[   ]faust-static-2.79.3-r0.apk2025-06-07 17:02 515K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 17:02 120K 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 17:02 2.6K 
[   ]fava-1.28-r0.apk2024-10-25 19:07 1.1M 
[   ]fava-pyc-1.28-r0.apk2024-10-25 19:07 164K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 19:07 4.2K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 19:07 2.2K 
[   ]fceux-2.6.6-r2.apk2024-10-25 19:07 2.8M 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 19:07 106K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 19:07 60K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 19:07 643K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 19:07 463K 
[   ]felix-2.16.1-r0.apk2025-05-16 08:33 593K 
[   ]femto-2.21.7-r0.apk2025-06-20 04:10 54K 
[   ]femto-doc-2.21.7-r0.apk2025-06-20 04:10 27K 
[   ]fff-2.2-r0.apk2024-10-25 19:07 11K 
[   ]fff-doc-2.2-r0.apk2024-10-25 19:07 9.0K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 19:07 345K 
[   ]ffms2-5.0-r0.apk2024-10-25 19:07 65K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 19:07 7.6K 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 19:07 30K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 19:07 1.4M 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 19:07 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 19:07 3.5K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 19:07 4.5K 
[   ]fheroes2-1.1.8-r0.apk2025-05-11 11:57 1.5M 
[   ]fheroes2-lang-1.1.8-r0.apk2025-05-11 11:57 1.7M 
[   ]fildesh-0.2.0-r0.apk2024-10-25 19:07 58K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 19:07 2.1K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 19:07 3.5K 
[   ]filebrowser-2.27.0-r11.apk2025-05-12 07:06 7.3M 
[   ]filebrowser-openrc-2.27.0-r11.apk2025-05-12 07:06 1.8K 
[   ]fileshelter-6.2.0-r3.apk2025-02-25 06:34 286K 
[   ]fileshelter-openrc-6.2.0-r3.apk2025-02-25 06:34 1.6K 
[   ]filite-0.3.0-r2.apk2024-10-25 19:07 1.0M 
[   ]findtow-0.1-r0.apk2024-10-25 19:07 4.3K 
[   ]finger-0.5-r0.apk2024-10-25 19:07 6.1K 
[   ]finger-doc-0.5-r0.apk2024-10-25 19:07 3.8K 
[   ]firehol-3.1.7-r2.apk2024-10-25 19:07 85K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 19:07 675K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 19:07 2.1K 
[   ]flamelens-0.3.1-r0.apk2025-05-11 11:57 1.0M 
[   ]flamelens-doc-0.3.1-r0.apk2025-05-11 11:57 3.7K 
[   ]flann-1.9.2-r1.apk2025-02-22 12:17 1.5M 
[   ]flann-dev-1.9.2-r1.apk2025-02-22 12:17 1.3M 
[   ]flann-doc-1.9.2-r1.apk2025-02-22 12:17 2.5K 
[   ]flare-engine-1.14-r0.apk2024-10-25 19:07 4.4M 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 19:07 2.5K 
[   ]flare-game-1.14-r0.apk2024-10-25 19:07 2.2K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 19:07 18K 
[   ]flatseal-2.3.1-r0.apk2025-06-20 04:10 43K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-20 04:10 8.5K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-20 04:10 79K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 19:07 4.1K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:06 1.1M 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:06 2.1K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:06 6.0K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:06 1.9K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:06 2.2K 
[   ]flint-3.3.1-r0.apk2025-06-20 04:10 3.9M 
[   ]flint-dev-3.3.1-r0.apk2025-06-20 04:10 311K 
[   ]flintqs-1.0-r1.apk2024-10-25 19:07 20K 
[   ]flowd-0.9.1-r10.apk2024-10-25 19:07 75K 
[   ]flowd-dev-0.9.1-r10.apk2024-10-25 19:07 8.3K 
[   ]flowd-doc-0.9.1-r10.apk2024-10-25 19:07 10K 
[   ]flowd-openrc-0.9.1-r10.apk2024-10-25 19:07 1.9K 
[   ]fnf-0.1-r0.apk2024-10-25 19:07 14K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 19:07 4.6K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 19:07 284K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 19:07 8.5K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 19:07 264K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-12 12:25 357K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 19:07 18M 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 14:36 1.3K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 14:36 526K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 14:36 507K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 19:07 792K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 19:07 626K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 19:07 249K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 19:07 1.0M 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 19:07 251K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 19:07 110K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 19:07 1.2K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 19:07 5.5K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 19:07 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 19:07 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 19:07 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 19:07 316K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 19:07 836K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 19:07 145K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 19:07 118K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 19:07 205K 
[   ]font-hanazono-20170904-r1.apk2024-10-25 19:07 29M 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 19:07 281K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 19:07 852K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 19:07 652K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 19:07 1.5K 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 19:07 2.2M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 19:07 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 19:07 2.1M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 19:07 2.7M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 19:07 2.3M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 19:07 677K 
[   ]font-openmoji-15.1.0-r0.apk2025-05-27 08:43 3.6M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 19:07 24K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 19:07 2.0M 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 19:07 430K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 19:07 62K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 19:07 199K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 19:07 568K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 19:07 58K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 19:07 31K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 19:07 3.9K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 19:07 1.5K 
[   ]fpc-3.2.2-r4.apk2024-10-25 19:07 69M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 19:07 1.2M 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 19:07 6.2M 
[   ]fplll-5.5.0-r0.apk2024-11-20 02:43 43K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-20 02:43 78K 
[   ]fplll-libs-5.5.0-r0.apk2024-11-20 02:43 6.0M 
[   ]fplll-static-5.5.0-r0.apk2024-11-20 02:43 7.6M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-20 02:43 1.7M 
[   ]fpp-0.9.5-r0.apk2024-10-25 19:07 29K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 19:07 5.6K 
[   ]fq-0.13.0-r5.apk2025-05-12 07:06 4.4M 
[   ]freealut-1.1.0-r1.apk2024-10-25 19:07 16K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 19:07 21K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 19:07 9.2K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 19:07 54K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 19:07 427K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 19:07 180K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 19:07 93K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 19:07 3.5M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 19:07 2.5K 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 19:07 1.2M 
[   ]freshrss-1.23.1-r1.apk2024-10-25 19:07 1.5M 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 19:07 751K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 19:07 379K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 19:07 1.4K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 19:07 2.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 19:07 1.4K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 19:07 1.4K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 19:07 1.5M 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 19:07 792K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 19:07 7.9K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 19:07 22K 
[   ]fungw-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 09:48 7.6K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 09:48 22K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 09:48 7.6K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 09:48 15K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 09:48 99K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 09:48 14K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 09:48 46K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 09:48 24K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 09:48 12K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 19:07 20K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 19:07 1.7K 
[   ]fuseiso-20070708-r0.apk2025-04-14 01:10 15K 
[   ]fuseiso-doc-20070708-r0.apk2025-04-14 01:10 2.6K 
[   ]fusesoc-2.3-r0.apk2024-10-25 19:07 46K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 19:07 89K 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 19:07 5.5M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 19:07 1.1M 
[   ]fzy-1.0-r4.apk2025-05-27 11:06 12K 
[   ]fzy-doc-1.0-r4.apk2025-05-27 11:06 3.6K 
[   ]gambit-4.9.5-r1.apk2025-04-07 10:32 11M 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 10:32 6.6M 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 10:32 4.3K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 10:58 6.2K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:19 69K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:19 5.1K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:19 7.5K 
[   ]gamja-1.0.0_beta11-r0.apk2025-06-15 04:00 617K 
[   ]gamja-doc-1.0.0_beta11-r0.apk2025-06-15 04:00 2.3K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 19:07 87K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 19:07 14K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 19:07 78K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 19:07 17K 
[   ]gatling-0.16-r6.apk2024-10-25 19:07 136K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 19:07 9.1K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 19:07 2.8K 
[   ]gaupol-1.12-r2.apk2024-10-25 19:07 276K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 19:07 2.4K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 19:07 277K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 19:07 419K 
[   ]gb-0.4.4-r31.apk2025-05-12 07:06 6.9M 
[   ]gcli-2.6.1-r0.apk2025-01-26 17:28 109K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 17:28 28K 
[   ]gdcm-3.0.24-r0.apk2024-10-25 19:07 354K 
[   ]gdcm-dev-3.0.24-r0.apk2024-10-25 19:07 463K 
[   ]gdcm-doc-3.0.24-r0.apk2024-10-25 19:07 55K 
[   ]gdcm-doc-html-3.0.24-r0.apk2024-10-25 19:07 8.7M 
[   ]gdcm-doc-pdf-3.0.24-r0.apk2024-10-25 19:07 14M 
[   ]gearman-dev-1.1.21-r2.apk2025-05-22 06:51 1.0M 
[   ]gearman-libs-1.1.21-r2.apk2025-05-22 06:51 66K 
[   ]gearmand-1.1.21-r2.apk2025-05-22 06:51 152K 
[   ]gearmand-doc-1.1.21-r2.apk2025-05-22 06:51 186K 
[   ]gearmand-openrc-1.1.21-r2.apk2025-05-22 06:51 1.8K 
[   ]gede-2.18.2-r1.apk2024-10-25 19:07 241K 
[   ]genact-1.4.2-r0.apk2024-10-25 19:07 1.3M 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 12:56 26K 
[   ]geodns-3.3.0-r13.apk2025-05-12 07:06 4.7M 
[   ]geodns-logs-3.3.0-r13.apk2025-05-12 07:06 4.3M 
[   ]geodns-openrc-3.3.0-r13.apk2025-05-12 07:06 1.8K 
[   ]geomyidae-0.34-r2.apk2024-10-25 19:07 13K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 19:07 7.7K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 19:07 2.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 19:07 826K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 19:07 3.0K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 19:07 13K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 19:07 4.6M 
[   ]getmail6-6.19.08-r0.apk2025-05-15 10:04 71K 
[   ]getmail6-doc-6.19.08-r0.apk2025-05-15 10:04 138K 
[   ]getmail6-pyc-6.19.08-r0.apk2025-05-15 10:04 104K 
[   ]getssl-2.48-r0.apk2024-10-25 19:07 82K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:36 715K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:36 497K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:36 229K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 19:07 34K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 19:07 55K 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 19:07 39K 
[   ]ghq-1.8.0-r1.apk2025-05-12 07:06 3.6M 
[   ]ghq-bash-completion-1.8.0-r1.apk2025-05-12 07:06 1.8K 
[   ]ghq-doc-1.8.0-r1.apk2025-05-12 07:06 5.5K 
[   ]ghq-fish-completion-1.8.0-r1.apk2025-05-12 07:06 2.6K 
[   ]ghq-zsh-completion-1.8.0-r1.apk2025-05-12 07:06 2.5K 
[   ]gimp-plugin-gmic-3.5.4-r0.apk2025-05-11 11:57 1.2M 
[   ]ginac-1.8.9-r0.apk2025-05-25 07:49 1.0M 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 07:49 68K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 07:49 98K 
[   ]ginger-2.4.0-r7.apk2024-10-25 19:07 257K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 19:07 125K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 19:07 207K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 19:07 195K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 19:07 53K 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 19:07 61K 
[   ]git-bug-0.8.1-r1.apk2025-05-12 07:06 9.7M 
[   ]git-bug-bash-completion-0.8.1-r1.apk2025-05-12 07:06 5.3K 
[   ]git-bug-doc-0.8.1-r1.apk2025-05-12 07:06 17K 
[   ]git-bug-fish-completion-0.8.1-r1.apk2025-05-12 07:06 4.3K 
[   ]git-bug-zsh-completion-0.8.1-r1.apk2025-05-12 07:06 4.1K 
[   ]git-cola-4.13.0-r0.apk2025-05-12 08:04 871K 
[   ]git-cola-doc-4.13.0-r0.apk2025-05-12 08:04 5.9K 
[   ]git-cola-pyc-4.13.0-r0.apk2025-05-12 08:04 783K 
[   ]git-extras-7.3.0-r0.apk2024-11-20 02:43 55K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-20 02:43 2.8K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-20 02:43 63K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:38 752K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:38 6.2K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 19:07 12K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 19:07 2.9K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 19:07 24K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 19:07 5.0K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 19:07 42K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 19:07 15K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 19:07 17K 
[   ]git2json-0.2.3-r8.apk2024-10-25 19:07 7.4K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 19:07 5.7K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 22:36 346K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 22:36 17K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 22:36 19K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 22:36 379K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 22:36 47K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 19:07 57K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 19:07 201K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 19:07 46K 
[   ]gliderlabs-sigil-0.11.0-r5.apk2025-05-12 07:06 3.2M 
[   ]gliderlabs-sigil-doc-0.11.0-r5.apk2025-05-12 07:06 2.4K 
[   ]glmark2-2023.01-r1.apk2024-10-25 19:07 7.9M 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 19:07 13K 
[   ]gloox-1.0.28-r0.apk2024-10-25 19:07 332K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 19:07 896K 
[   ]glow-2.1.1-r0.apk2025-06-01 15:47 5.9M 
[   ]glow-bash-completion-2.1.1-r0.apk2025-06-01 15:47 6.1K 
[   ]glow-doc-2.1.1-r0.apk2025-06-01 15:47 3.2K 
[   ]glow-fish-completion-2.1.1-r0.apk2025-06-01 15:47 4.3K 
[   ]glow-zsh-completion-2.1.1-r0.apk2025-06-01 15:47 4.0K 
[   ]glslviewer-3.2.4-r1.apk2025-02-08 23:44 1.6M 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 19:12 36K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 19:12 1.9K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 19:12 61K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-22 12:17 32K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-22 12:17 4.1K 
[   ]gmic-3.5.4-r0.apk2025-05-11 11:57 12M 
[   ]gmic-bash-completion-3.5.4-r0.apk2025-05-11 11:57 29K 
[   ]gmic-dev-3.5.4-r0.apk2025-05-11 11:57 7.6K 
[   ]gmic-doc-3.5.4-r0.apk2025-05-11 11:57 222K 
[   ]gmic-libs-3.5.4-r0.apk2025-05-11 11:57 3.0M 
[   ]gmic-qt-3.5.4-r0.apk2025-05-11 11:57 1.5M 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 190K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 2.2K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 19:07 12K 
[   ]gnome-latex-3.48.0-r0.apk2025-04-07 21:29 344K 
[   ]gnome-latex-doc-3.48.0-r0.apk2025-04-07 21:29 108K 
[   ]gnome-latex-lang-3.48.0-r0.apk2025-04-07 21:29 530K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 19:07 411K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 19:07 25K 
[   ]gnome-mimeapps-0.1-r0.apk2025-05-26 23:05 3.7K 
[   ]gnome-user-share-48.0-r0.apk2025-03-19 13:00 261K 
[   ]gnome-user-share-lang-48.0-r0.apk2025-03-19 13:00 67K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 19:07 1.2M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 19:07 580K 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 19:07 1.6M 
[   ]go-away-0.7.0-r0.apk2025-06-10 19:48 7.8M 
[   ]go-away-openrc-0.7.0-r0.apk2025-06-10 19:48 2.3K 
[   ]go-jsonnet-0.21.0-r0.apk2025-05-16 20:39 6.2M 
[   ]go-mtpfs-1.0.0-r27.apk2025-05-12 07:06 1.1M 
[   ]go-passbolt-cli-0.3.2-r3.apk2025-05-12 07:06 6.0M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 19:07 1.5M 
[   ]gobuster-3.6.0-r12.apk2025-05-12 07:06 3.5M 
[   ]godini-1.0.0-r0.apk2025-05-27 21:41 1.4M 
[   ]godini-doc-1.0.0-r0.apk2025-05-27 21:41 15K 
[   ]godot-4.4.1-r0.apk2025-05-11 11:57 56M 
[   ]godot-doc-4.4.1-r0.apk2025-05-11 11:57 4.5K 
[   ]godot-templates-4.4.1-r0.apk2025-05-11 11:57 44M 
[   ]gomp-1.0.0-r12.apk2025-05-12 07:06 3.5M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 19:07 42K 
[   ]goreman-0.3.15-r13.apk2025-05-12 07:06 2.4M 
[   ]goshs-1.1.0-r0.apk2025-06-04 12:51 6.1M 
[   ]goshs-doc-1.1.0-r0.apk2025-06-04 12:51 2.3K 
[   ]gost-3.1.0-r0.apk2025-06-27 02:26 11M 
[   ]gost-doc-3.1.0-r0.apk2025-06-27 02:26 2.2K 
[   ]gotify-2.5.0-r6.apk2025-05-12 07:06 9.7M 
[   ]gotify-cli-2.3.2-r5.apk2025-05-12 07:06 4.2M 
[   ]gotify-openrc-2.5.0-r6.apk2025-05-12 07:06 2.0K 
[   ]goxel-0.15.1-r0.apk2024-10-25 19:07 1.6M 
[   ]gpa-0.10.0-r2.apk2024-10-25 19:07 199K 
[   ]gpa-doc-0.10.0-r2.apk2024-10-25 19:07 2.9K 
[   ]gpg-remailer-3.04.07-r1.apk2024-10-25 19:07 46K 
[   ]gpg-remailer-doc-3.04.07-r1.apk2024-10-25 19:07 9.5K 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 19:07 12M 
[   ]gpsbabel-1.9.0-r0.apk2024-10-25 19:07 1.2M 
[   ]gpsbabel-lang-1.9.0-r0.apk2024-10-25 19:07 88K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:36 45K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:36 22K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:36 285K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:36 17K 
[   ]gr-satellites-5.5.0-r5.apk2025-04-11 18:19 455K 
[   ]gr-satellites-dev-5.5.0-r5.apk2025-04-11 18:19 13K 
[   ]gr-satellites-doc-5.5.0-r5.apk2025-04-11 18:19 4.5K 
[   ]granite7-7.5.0-r0.apk2024-10-25 19:07 110K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 19:07 39K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 19:07 52K 
[   ]grcov-0.8.20-r0.apk2024-11-11 10:03 1.7M 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 19:07 18K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 19:07 2.2K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 19:07 3.3K 
[   ]grip-4.2.4-r0.apk2024-10-25 19:07 372K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 19:07 6.2K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 19:07 144K 
[   ]gron-0.7.1-r25.apk2025-05-25 07:49 2.5M 
[   ]gron-doc-0.7.1-r25.apk2025-05-25 07:49 6.3K 
[   ]grpcui-1.4.3-r4.apk2025-05-29 11:58 8.4M 
[   ]grpcurl-1.9.3-r2.apk2025-05-12 07:06 8.0M 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-14 10:59 25K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-14 10:59 3.5K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 19:07 16K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 19:07 5.8K 
[   ]gssdp-1.6.3-r0.apk2024-12-01 12:57 41K 
[   ]gssdp-dev-1.6.3-r0.apk2024-12-01 12:57 15K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-22 12:17 403K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-22 12:17 310K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-02-01 17:06 23K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-02-01 17:06 5.3K 
[   ]gtkhash-1.5-r0.apk2024-10-25 19:07 84K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 19:07 47K 
[   ]gtklock-4.0.0-r0.apk2025-02-01 17:06 17K 
[   ]gtklock-doc-4.0.0-r0.apk2025-02-01 17:06 3.0K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 19:07 2.4M 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 19:07 27K 
[   ]guake-3.10-r1.apk2024-10-25 19:07 305K 
[   ]guake-lang-3.10-r1.apk2024-10-25 19:07 188K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 19:07 186K 
[   ]guestfs-tools-1.52.0-r3.apk2025-06-13 14:11 275K 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 07:49 128K 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 07:49 2.2M 
[   ]gufw-24.04-r3.apk2024-11-20 02:43 596K 
[   ]gufw-doc-24.04-r3.apk2024-11-20 02:43 4.5K 
[   ]gufw-lang-24.04-r3.apk2024-11-20 02:43 855K 
[   ]gufw-pyc-24.04-r3.apk2024-11-20 02:43 65K 
[   ]guish-2.6.11-r0.apk2024-12-24 10:42 81K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 10:42 61K 
[   ]gupnp-1.6.8-r0.apk2025-01-25 07:03 79K 
[   ]gupnp-av-0.14.3-r0.apk2025-01-15 23:26 71K 
[   ]gupnp-av-dev-0.14.3-r0.apk2025-01-15 23:26 41K 
[   ]gupnp-dev-1.6.8-r0.apk2025-01-25 07:03 50K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 12:57 59K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 12:57 24K 
[   ]gupnp-doc-1.6.8-r0.apk2025-01-25 07:03 3.7K 
[   ]gx-0.14.3-r30.apk2025-05-12 07:06 4.6M 
[   ]gx-doc-0.14.3-r30.apk2025-05-12 07:06 2.3K 
[   ]gx-go-1.9.0-r32.apk2025-05-12 07:06 4.9M 
[   ]gx-go-doc-1.9.0-r32.apk2025-05-12 07:06 2.3K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-25 07:03 23K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 19:07 112K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 19:07 8.8K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 19:07 2.7K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 19:07 108K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 19:07 285K 
[   ]halp-0.2.0-r0.apk2024-10-25 19:07 830K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 19:07 2.2K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 19:07 6.9K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 19:07 2.0K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 19:07 2.4K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 19:07 156K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 19:07 2.0K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 19:07 116K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 19:07 206K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 19:07 358K 
[   ]hardinfo2-2.2.10-r0.apk2025-05-18 22:20 3.3M 
[   ]hardinfo2-doc-2.2.10-r0.apk2025-05-18 22:20 2.7K 
[   ]hardinfo2-lang-2.2.10-r0.apk2025-05-18 22:20 273K 
[   ]hardinfo2-openrc-2.2.10-r0.apk2025-05-18 22:20 1.9K 
[   ]harminv-1.4.2-r1.apk2024-10-25 19:07 7.1K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 19:07 3.1K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 19:07 5.6K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 19:07 11K 
[   ]hashcat-6.2.6-r0.apk2024-10-25 19:07 52M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 19:07 2.1M 
[   ]hatch-1.14.1-r0.apk2025-04-11 18:19 102K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-11 18:19 216K 
[   ]hatop-0.8.2-r0.apk2024-10-25 19:07 18K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 19:07 3.0K 
[   ]haxe-4.3.3-r1.apk2025-03-22 14:33 9.8M 
[   ]haxe-doc-4.3.3-r1.apk2025-03-22 14:33 7.7K 
[   ]hctl-0.2.7-r0.apk2025-05-14 00:04 1.2M 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 19:07 33K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 19:07 2.2K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 19:07 34K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 19:07 241K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 19:07 101K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 19:07 6.0K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 19:07 173K 
[   ]heh-0.6.1-r0.apk2024-10-25 19:07 415K 
[   ]heh-doc-0.6.1-r0.apk2024-10-25 19:07 4.1K 
[   ]heisenbridge-1.15.3-r0.apk2025-04-20 05:17 67K 
[   ]heisenbridge-pyc-1.15.3-r0.apk2025-04-20 05:17 155K 
[   ]helm-diff-3.11.0-r1.apk2025-05-12 07:06 21M 
[   ]helm-ls-0.0.12-r9.apk2025-05-12 07:06 11M 
[   ]helm-ls-doc-0.0.12-r9.apk2025-05-12 07:06 2.3K 
[   ]helm-mapkubeapis-0.5.2-r6.apk2025-05-14 15:57 19M 
[   ]helm-unittest-0.8.2-r0.apk2025-05-16 14:37 12M 
[   ]helmfile-1.1.2-r0.apk2025-06-20 04:10 46M 
[   ]helmfile-bash-completion-1.1.2-r0.apk2025-06-20 04:10 6.1K 
[   ]helmfile-doc-1.1.2-r0.apk2025-06-20 04:10 2.2K 
[   ]helmfile-fish-completion-1.1.2-r0.apk2025-06-20 04:10 4.3K 
[   ]helmfile-zsh-completion-1.1.2-r0.apk2025-06-20 04:10 4.0K 
[   ]herbe-1.0.0-r0.apk2024-10-25 19:07 5.6K 
[   ]hex-0.6.0-r0.apk2024-10-25 19:07 258K 
[   ]hexdiff-0.0.53-r3.apk2025-05-25 07:49 13K 
[   ]hexdiff-doc-0.0.53-r3.apk2025-05-25 07:49 3.7K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 19:07 17K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 19:07 5.6K 
[   ]hfst-3.16.2-r0.apk2025-03-29 18:40 1.3M 
[   ]hfst-dev-3.16.2-r0.apk2025-03-29 18:40 209K 
[   ]hfst-doc-3.16.2-r0.apk2025-03-29 18:40 70K 
[   ]hfst-libs-3.16.2-r0.apk2025-03-29 18:40 1.7M 
[   ]hiawatha-11.6-r1.apk2025-05-27 11:06 150K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 11:06 21K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 11:06 17K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 11:06 1.7K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 19:07 63K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 19:07 118K 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:50 75K 
[   ]hikari-2.3.3-r6.apk2024-10-25 19:07 929K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 19:07 14K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 19:07 3.8K 
[   ]hilbish-2.3.4-r5.apk2025-05-12 07:06 3.3M 
[   ]hilbish-doc-2.3.4-r5.apk2025-05-12 07:06 25K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 19:07 8.3K 
[   ]hitide-0.15.0-r0.apk2024-10-25 19:07 1.9M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 19:07 2.1K 
[   ]homebank-5.9.1-r0.apk2025-05-11 11:57 2.0M 
[   ]homebank-lang-5.9.1-r0.apk2025-05-11 11:57 942K 
[   ]hopalong-0.1-r3.apk2024-10-25 19:07 19K 
[   ]horizon-0.9.6-r9.apk2024-10-25 19:07 187K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 19:07 4.0M 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 19:07 4.9K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 19:07 21K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 19:07 60K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 19:07 67K 
[   ]horust-0.1.7-r2.apk2024-10-25 19:07 934K 
[   ]horust-doc-0.1.7-r2.apk2024-10-25 19:07 9.2K 
[   ]hping3-20051105-r4.apk2024-10-25 19:07 63K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 19:07 17K 
[   ]hpnssh-18.6.2-r0.apk2025-03-20 11:54 2.2M 
[   ]hpnssh-doc-18.6.2-r0.apk2025-03-20 11:54 99K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 19:07 11K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 19:07 787K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 19:07 54K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 19:07 21K 
[   ]htslib-1.19-r0.apk2024-10-25 19:07 331K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 19:07 115K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 19:07 23K 
[   ]htslib-static-1.19-r0.apk2024-10-25 19:07 419K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 19:07 1.1M 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 19:07 3.4K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 19:07 2.3K 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:38 1.2M 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:38 2.3K 
[   ]httpx-1.7.0-r2.apk2025-05-29 11:58 13M 
[   ]httpx-doc-1.7.0-r2.apk2025-05-29 11:58 2.3K 
[   ]httrack-3.49.2-r5.apk2024-10-25 19:07 717K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 19:07 528K 
[   ]hub-2.14.2-r31.apk2025-05-12 07:06 2.8M 
[   ]hub-bash-completion-2.14.2-r31.apk2025-05-12 07:06 4.6K 
[   ]hub-doc-2.14.2-r31.apk2025-05-12 07:06 42K 
[   ]hub-fish-completion-2.14.2-r31.apk2025-05-12 07:06 3.3K 
[   ]hub-zsh-completion-2.14.2-r31.apk2025-05-12 07:06 3.7K 
[   ]hubble-cli-0.13.6-r5.apk2025-05-12 07:06 17M 
[   ]hubble-cli-bash-completion-0.13.6-r5.apk2025-05-12 07:06 5.1K 
[   ]hubble-cli-fish-completion-0.13.6-r5.apk2025-05-12 07:06 4.3K 
[   ]hubble-cli-zsh-completion-0.13.6-r5.apk2025-05-12 07:06 4.1K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 19:07 731K 
[   ]hunspell-fr-7.0-r0.apk2025-06-13 14:31 1.2M 
[   ]hunspell-fr-doc-7.0-r0.apk2025-06-13 14:31 2.9K 
[   ]hurl-6.1.1-r0.apk2025-05-11 11:57 1.6M 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-11 11:57 2.3K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-11 11:57 8.8K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-11 11:57 3.5K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-11 11:57 4.0K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-11 11:57 770K 
[   ]hw-probe-1.6.6-r1.apk2025-06-25 07:39 124K 
[   ]hwatch-0.3.11-r0.apk2024-10-25 19:07 894K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 19:07 3.0K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 19:07 1.8K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 19:07 1.9K 
[   ]hx-1.0.15-r0.apk2024-10-25 19:07 13K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 19:07 4.8K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 19:07 433K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 19:07 3.3K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 19:07 17K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 19:07 180K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 19:07 2.5K 
[   ]hypnotix-3.5-r0.apk2024-10-25 19:07 110K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 19:07 72K 
[   ]hyprland-plugins-0.49.0-r0.apk2025-05-24 20:10 1.3K 
[   ]hyprland-plugins-borders-plus-plus-0.49.0-r0.apk2025-05-24 20:10 51K 
[   ]hyprland-plugins-csgo-vulkan-fix-0.49.0-r0.apk2025-05-24 20:10 16K 
[   ]hyprland-plugins-doc-0.49.0-r0.apk2025-05-24 20:10 5.7K 
[   ]hyprland-plugins-hyprbars-0.49.0-r0.apk2025-05-24 20:10 85K 
[   ]hyprland-plugins-hyprexpo-0.49.0-r0.apk2025-05-24 20:10 41K 
[   ]hyprland-plugins-hyprtrails-0.49.0-r0.apk2025-05-24 20:10 44K 
[   ]hyprland-plugins-hyprwinwrap-0.49.0-r0.apk2025-05-24 20:10 56K 
[   ]hyprland-plugins-xtra-dispatchers-0.49.0-r0.apk2025-05-24 20:10 15K 
[   ]hyprland-qt-support-0.1.0-r0.apk2025-05-24 20:06 101K 
[   ]hyprland-qtutils-0.1.3-r0.apk2025-05-24 20:06 109K 
[   ]hyprpolkitagent-0.1.2-r0.apk2025-05-24 20:02 49K 
[   ]hyprpolkitagent-doc-0.1.2-r0.apk2025-05-24 20:02 3.0K 
[   ]hyprpolkitagent-openrc-0.1.2-r0.apk2025-05-24 20:02 1.8K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 19:07 16K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 19:07 2.2K 
[   ]i2util-4.2.1-r1.apk2024-10-25 19:07 20K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 19:07 43K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 19:07 4.7K 
[   ]i3bar-river-1.1.0-r0.apk2025-03-14 14:05 463K 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 03:21 4.1M 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 03:21 34K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 19:07 8.2K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 19:07 1.9K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 19:07 17M 
[   ]icmake-9.03.01-r0.apk2024-10-25 19:07 94K 
[   ]icmake-doc-9.03.01-r0.apk2024-10-25 19:07 127K 
[   ]identities-0.1.4-r0.apk2025-05-11 11:57 13K 
[   ]identme-0.6.0-r0.apk2025-04-03 12:33 43K 
[   ]idesk-1-r1.apk2024-10-25 19:07 60K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:44 14K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:44 2.5K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 22:44 77K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 22:44 2.5K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:44 9.4K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:44 2.3K 
[   ]igrep-1.2.0-r0.apk2024-10-25 19:07 1.5M 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 19:07 4.1K 
[   ]ijq-1.1.0-r8.apk2025-05-12 07:06 1.4M 
[   ]ijq-doc-1.1.0-r8.apk2025-05-12 07:06 3.5K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 19:07 35K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 19:07 13K 
[   ]imediff-2.6-r1.apk2024-10-25 19:07 42K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 19:07 6.5K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 19:07 44K 
[   ]imgdiff-1.0.2-r26.apk2025-05-12 07:06 972K 
[   ]imgdiff-doc-1.0.2-r26.apk2025-05-12 07:06 2.3K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 19:07 7.6K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 19:07 20K 
[   ]incus-ui-canonical-0.14.6-r0.apk2025-02-04 17:20 4.5M 
[   ]infnoise-0.3.3-r0.apk2025-05-26 08:58 15K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 08:58 4.1K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 08:58 1.7K 
[   ]initify-0_git20171210-r1.apk2024-10-25 19:07 3.3K 
[   ]innernet-1.6.1-r0.apk2024-10-25 19:07 2.5M 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 19:07 3.8K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 19:07 9.0K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 19:07 4.5K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 19:07 2.3K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 19:07 5.4K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 19:07 97K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 19:07 1.7K 
[   ]invidtui-0.4.6-r5.apk2025-05-12 07:06 4.0M 
[   ]ip2location-8.6.1-r0.apk2024-10-25 19:07 21K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 19:07 11K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 19:07 2.7K 
[   ]ipp-usb-0.9.30-r0.apk2025-05-27 22:50 2.5M 
[   ]ipp-usb-doc-0.9.30-r0.apk2025-05-27 22:50 8.8K 
[   ]ipp-usb-openrc-0.9.30-r0.apk2025-05-27 22:50 1.7K 
[   ]iprange-1.0.4-r1.apk2024-10-25 19:07 20K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 19:07 4.5K 
[   ]irccd-4.0.3-r0.apk2024-10-25 19:07 223K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 19:07 9.6K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 19:07 80K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 19:07 1.8K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 04:01 309K 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 04:01 3.6K 
[   ]ircdog-0.5.4-r5.apk2025-05-12 07:06 2.4M 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 19:07 6.1K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 19:07 30K 
[   ]iwmenu-0.2.0-r0.apk2025-05-18 22:30 1.4M 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 19:07 30K 
[   ]jackal-0.64.0-r15.apk2025-05-12 07:06 12M 
[   ]jackal-openrc-0.64.0-r15.apk2025-05-12 07:06 1.8K 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 11:58 2.0M 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 11:58 364K 
[   ]jackline-0.1.0-r3.apk2024-10-25 19:07 3.9M 
[   ]jacktrip-2.5.1-r0.apk2025-04-18 04:13 919K 
[   ]jacktrip-doc-2.5.1-r0.apk2025-04-18 04:13 6.4K 
[   ]jalv-1.6.8-r1.apk2024-10-25 19:07 43K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 19:07 3.2K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 19:07 30K 
[   ]jami-qt-20230925-r0.apk2024-10-25 19:07 13M 
[   ]jami-qt-doc-20230925-r0.apk2024-10-25 19:07 2.7K 
[   ]jami-qt-lang-20230925-r0.apk2024-10-25 19:07 2.3M 
[   ]jaq-2.2.0-r0.apk2025-05-11 11:57 564K 
[   ]jaq-doc-2.2.0-r0.apk2025-05-11 11:57 2.2K 
[   ]java-gdcm-3.0.24-r0.apk2024-10-25 19:07 579K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 19:07 4.0M 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 19:07 12K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 19:07 219K 
[   ]jbigkit-2.1-r2.apk2024-10-25 19:07 62K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 19:07 28K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 19:07 7.3K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 19:07 82K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 19:07 12K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 19:07 27K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 19:07 9.0K 
[   ]jedi-language-server-0.45.1-r0.apk2025-05-11 11:57 32K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-05-11 11:57 50K 
[   ]jfrog-cli-2.45.0-r12.apk2025-05-12 07:06 8.9M 
[   ]jhead-3.08-r0.apk2024-10-25 19:07 31K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 19:07 7.9K 
[   ]jotdown-0.7.0-r0.apk2025-03-11 20:12 199K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 19:07 502K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 19:07 4.7K 
[   ]json2tsv-1.2-r0.apk2024-10-25 19:07 6.2K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 19:07 5.2K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 19:07 1.9K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 19:07 2.3K 
[   ]jsonnet-bundler-0.6.0-r5.apk2025-05-12 07:06 3.2M 
[   ]jsonnet-language-server-0.15.0-r4.apk2025-05-12 07:06 4.1M 
[   ]junit2html-31.0.2-r0.apk2024-10-25 19:07 17K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 19:07 24K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 18:18 751K 
[   ]k2-0_git20220807-r1.apk2024-10-25 19:07 98K 
[   ]k3sup-0.13.6-r5.apk2025-05-12 07:06 2.6M 
[   ]k3sup-bash-completion-0.13.6-r5.apk2025-05-12 07:06 5.0K 
[   ]k3sup-fish-completion-0.13.6-r5.apk2025-05-12 07:06 4.3K 
[   ]k3sup-zsh-completion-0.13.6-r5.apk2025-05-12 07:06 4.0K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 19:07 50K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 19:07 3.5K 
[   ]kannel-1.5.0-r11.apk2024-10-25 19:07 5.6M 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 19:07 882K 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 19:07 6.2K 
[   ]kapow-0.7.1-r13.apk2025-05-12 07:06 3.5M 
[   ]katana-1.1.3-r1.apk2025-05-12 07:06 13M 
[   ]katana-doc-1.1.3-r1.apk2025-05-12 07:06 2.3K 
[   ]katarakt-0.2-r1.apk2025-01-29 19:44 74K 
[   ]kbs2-0.7.2-r3.apk2024-10-25 19:07 915K 
[   ]kbs2-bash-completion-0.7.2-r3.apk2024-10-25 19:07 3.1K 
[   ]kbs2-fish-completion-0.7.2-r3.apk2024-10-25 19:07 3.2K 
[   ]kbs2-zsh-completion-0.7.2-r3.apk2024-10-25 19:07 4.0K 
[   ]kcbench-0.9.12-r0.apk2025-05-11 11:57 37K 
[   ]kcbench-doc-0.9.12-r0.apk2025-05-11 11:57 20K 
[   ]kdiskmark-3.1.4-r1.apk2024-10-25 19:07 154K 
[   ]kdiskmark-lang-3.1.4-r1.apk2024-10-25 19:07 27K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 19:07 876K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 11:58 9.3K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 11:58 15K 
[   ]kew-3.3.3-r0.apk2025-05-29 23:50 333K 
[   ]kew-doc-3.3.3-r0.apk2025-05-29 23:50 3.5K 
[   ]keybase-client-6.2.8-r10.apk2025-05-12 07:06 17M 
[   ]keystone-0.9.2-r6.apk2024-10-25 19:07 1.3M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 19:07 7.3K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 19:07 1.5M 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 19:07 9.7K 
[   ]kfc-0.1.4-r0.apk2024-10-25 19:07 58K 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 19:07 1.2M 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 19:07 6.3K 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 19:07 230K 
[   ]khinsider-2.0.7-r20.apk2025-05-12 07:06 3.4M 
[   ]khronos-4.0.1-r0.apk2024-10-25 19:07 47K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 19:07 26K 
[   ]kimchi-3.0.0-r8.apk2025-02-10 21:12 529K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-10 21:12 172K 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-10 21:12 476K 
[   ]kine-0.10.1-r13.apk2025-05-12 07:06 7.7M 
[   ]kine-doc-0.10.1-r13.apk2025-05-12 07:06 5.1K 
[   ]kirc-0.3.3-r0.apk2025-02-22 12:34 14K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 12:34 2.8K 
[   ]kismet-0.202307.1-r8.apk2025-06-12 12:11 11M 
[   ]kismet-linux-bluetooth-0.202307.1-r8.apk2025-06-12 12:11 40K 
[   ]kismet-linux-wifi-0.202307.1-r8.apk2025-06-12 12:11 58K 
[   ]kismet-logtools-0.202307.1-r8.apk2025-06-12 12:11 1.0M 
[   ]kismet-nrf-51822-0.202307.1-r8.apk2025-06-12 12:11 37K 
[   ]kismet-nxp-kw41z-0.202307.1-r8.apk2025-06-12 12:11 39K 
[   ]kjv-0_git20221103-r0.apk2024-10-25 19:07 1.5M 
[   ]klevernotes-1.1.0-r0.apk2024-10-25 19:07 2.1M 
[   ]klevernotes-lang-1.1.0-r0.apk2024-10-25 19:07 142K 
[   ]klong-20221212-r0.apk2025-06-07 15:16 326K 
[   ]kmscon-9.0.0-r0.apk2024-10-25 19:07 785K 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 19:07 7.7K 
[   ]knative-client-1.18.0-r0.apk2025-05-16 14:34 23M 
[   ]knative-client-bash-completion-1.18.0-r0.apk2025-05-16 14:34 10K 
[   ]knative-client-fish-completion-1.18.0-r0.apk2025-05-16 14:34 4.3K 
[   ]knative-client-zsh-completion-1.18.0-r0.apk2025-05-16 14:34 4.0K 
[   ]knxd-0.14.61-r1.apk2024-12-14 19:23 350K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 19:23 22K 
[   ]ko-0.17.1-r5.apk2025-05-12 07:06 10M 
[   ]ko-bash-completion-0.17.1-r5.apk2025-05-12 07:06 5.0K 
[   ]ko-fish-completion-0.17.1-r5.apk2025-05-12 07:06 4.3K 
[   ]ko-zsh-completion-0.17.1-r5.apk2025-05-12 07:06 4.0K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-25 07:04 46K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-25 07:04 23K 
[   ]kodi-audioencoder-flac-20.2.0-r1.apk2024-10-25 19:07 42KKodi
[   ]kodi-audioencoder-lame-20.3.0-r1.apk2024-10-25 19:07 91KKodi
[   ]kodi-audioencoder-vorbis-20.2.0-r1.apk2024-10-25 19:07 34KKodi
[   ]kodi-audioencoder-wav-20.2.0-r1.apk2024-10-25 19:07 26KKodi
[   ]kodi-game-libretro-20.1.0-r0.apk2024-10-25 19:07 109KKodi
[   ]kodi-game-libretro-atari800-3.1.0.28-r0.apk2024-10-25 19:07 241KKodi
[   ]kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk2024-10-25 19:07 15KKodi
[   ]kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk2024-10-25 19:07 15KKodi
[   ]kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk2024-10-25 19:07 17KKodi
[   ]kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk2024-10-25 19:07 21KKodi
[   ]kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk2024-10-25 19:07 54KKodi
[   ]kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk2024-10-25 19:07 64KKodi
[   ]kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk2024-10-25 19:07 20KKodi
[   ]kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk2024-10-25 19:07 20KKodi
[   ]kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk2024-10-25 19:07 16KKodi
[   ]kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk2024-10-25 19:07 17KKodi
[   ]kodi-game-libretro-desmume-0.0.1.28-r0.apk2024-10-25 19:07 64KKodi
[   ]kodi-game-libretro-dosbox-0.74.0.25-r0.apk2024-10-25 19:07 74KKodi
[   ]kodi-game-libretro-fbneo-1.0.0.71-r0.apk2024-10-25 19:07 180KKodi
[   ]kodi-game-libretro-frodo-0.0.1.25-r0.apk2024-10-25 19:07 160KKodi
[   ]kodi-game-libretro-mame2000-0.37.0.32-r0.apk2024-10-25 19:07 326KKodi
[   ]kodi-game-libretro-mame2003-0.78.0.54-r0.apk2024-10-25 19:07 1.3MKodi
[   ]kodi-game-libretro-mgba-0.11.0.44-r0.apk2024-10-25 19:07 19KKodi
[   ]kodi-game-libretro-nestopia-1.52.0.41-r0.apk2024-10-25 19:07 23KKodi
[   ]kodi-game-libretro-snes9x-1.62.3.45-r0.apk2024-10-25 19:07 26KKodi
[   ]kodi-game-libretro-theodore-0.0.1.32-r0.apk2024-10-25 19:07 16KKodi
[   ]kodi-inputstream-adaptive-21.5.9-r0.apk2025-03-02 16:17 1.0MKodi
[   ]kodi-inputstream-ffmpegdirect-21.3.7-r0.apk2025-03-02 16:17 331KKodi
[   ]kodi-inputstream-rtmp-21.1.2-r0.apk2025-03-02 16:17 84KKodi
[   ]kodi-peripheral-joystick-20.1.9-r0.apk2024-10-25 19:07 198KKodi
[   ]kodi-pvr-hts-21.2.6-r0.apk2025-03-02 16:17 277KKodi
[   ]kodi-pvr-iptvsimple-21.10.2-r0.apk2025-03-02 16:17 903KKodi
[   ]kodi-vfs-libarchive-21.0.2-r0.apk2025-03-02 16:17 104KKodi
[   ]kodi-vfs-rar-20.1.0-r1.apk2024-10-25 19:07 384KKodi
[   ]kodi-vfs-sacd-20.1.0-r1.apk2024-10-25 19:07 86KKodi
[   ]kodi-vfs-sftp-20.2.0-r1.apk2024-10-25 19:07 51KKodi
[   ]komikku-1.80.0-r0.apk2025-06-20 04:10 437K 
[   ]komikku-lang-1.80.0-r0.apk2025-06-20 04:10 269K 
[   ]komikku-pyc-1.80.0-r0.apk2025-06-20 04:10 783K 
[   ]kompose-1.31.2-r10.apk2025-05-12 07:06 7.1M 
[   ]kompose-bash-completion-1.31.2-r10.apk2025-05-12 07:06 5.6K 
[   ]kompose-fish-completion-1.31.2-r10.apk2025-05-12 07:06 4.4K 
[   ]kompose-zsh-completion-1.31.2-r10.apk2025-05-12 07:06 6.8K 
[   ]kondo-0.8-r0.apk2024-10-25 19:07 596K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 19:07 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 19:07 2.1K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 19:07 2.4K 
[   ]kontainer-1.0.1-r0.apk2025-06-20 04:10 186K 
[   ]kopia-0.19.0-r4.apk2025-05-12 07:06 16M 
[   ]kopia-bash-completion-0.19.0-r4.apk2025-05-12 07:06 1.8K 
[   ]kopia-zsh-completion-0.19.0-r4.apk2025-05-12 07:06 1.8K 
[   ]krdp-6.3.5-r0.apk2025-06-04 12:51 157K 
[   ]krdp-lang-6.3.5-r0.apk2025-06-04 12:51 50K 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:07 72K 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:07 2.4M 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:07 106K 
[   ]ktx-4.3.2-r1.apk2025-06-10 02:49 1.1M 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 02:49 29K 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 02:49 1.2M 
[   ]kube-no-trouble-0.7.3-r5.apk2025-05-12 07:06 13M 
[   ]kubeconform-0.6.6-r7.apk2025-05-12 07:06 3.3M 
[   ]kubectl-krew-0.4.5-r2.apk2025-05-12 07:06 4.5M 
[   ]kubectl-oidc_login-1.32.4-r0.apk2025-05-16 14:31 5.4M 
[   ]kubeone-1.10.0-r0.apk2025-05-16 08:28 26M 
[   ]kubeone-bash-completion-1.10.0-r0.apk2025-05-16 08:28 6.7K 
[   ]kubeone-doc-1.10.0-r0.apk2025-05-16 08:28 20K 
[   ]kubeone-zsh-completion-1.10.0-r0.apk2025-05-16 08:28 4.0K 
[   ]kubepug-1.7.1-r10.apk2025-05-12 07:06 16M 
[   ]kubepug-bash-completion-1.7.1-r10.apk2025-05-12 07:06 5.1K 
[   ]kubepug-fish-completion-1.7.1-r10.apk2025-05-12 07:06 4.3K 
[   ]kubepug-zsh-completion-1.7.1-r10.apk2025-05-12 07:06 4.1K 
[   ]kubeseal-0.30.0-r0.apk2025-06-13 14:31 11M 
[   ]kubeseal-doc-0.30.0-r0.apk2025-06-13 14:31 5.5K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 19:07 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 19:07 13K 
[   ]lavacli-2.4-r0.apk2025-06-15 04:00 49K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 04:00 34K 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 04:00 88K 
[   ]laze-0.1.31-r0.apk2025-02-22 12:17 943K 
[   ]laze-bash-completion-0.1.31-r0.apk2025-02-22 12:17 3.1K 
[   ]laze-doc-0.1.31-r0.apk2025-02-22 12:17 3.5K 
[   ]laze-fish-completion-0.1.31-r0.apk2025-02-22 12:17 3.4K 
[   ]laze-zsh-completion-0.1.31-r0.apk2025-02-22 12:17 3.6K 
[   ]lbb-0.9.1-r3.apk2025-05-12 07:06 3.1M 
[   ]lbb-doc-0.9.1-r3.apk2025-05-12 07:06 19K 
[   ]lcalc-2.1.0-r0.apk2025-05-11 11:57 164K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-11 11:57 51K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-11 11:57 437K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-11 11:57 232K 
[   ]ldapdomaindump-0.9.4-r2.apk2025-05-29 11:58 18K 
[   ]ldapdomaindump-pyc-0.9.4-r2.apk2025-05-29 11:58 30K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 19:07 69K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 19:07 14K 
[   ]lefthook-1.11.14-r0.apk2025-06-20 04:10 5.2M 
[   ]lefthook-doc-1.11.14-r0.apk2025-06-20 04:10 2.2K 
[   ]legume-1.4.2-r9.apk2025-05-12 07:06 1.4M 
[   ]legume-doc-1.4.2-r9.apk2025-05-12 07:06 12K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 15:39 904K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 15:39 6.0K 
[   ]level-zero-1.21.9-r0.apk2025-05-23 19:58 545K 
[   ]level-zero-doc-1.21.9-r0.apk2025-05-23 19:58 2.3K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 19:07 43K 
[   ]lfm-3.1-r4.apk2024-10-25 19:07 88K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 19:07 2.8K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 19:07 134K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 15:39 329K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 15:39 8.5K 
[   ]libabigail-2.3-r0.apk2024-10-25 19:07 717K 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 19:07 3.0K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 19:07 1.3M 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 19:07 61K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 19:07 90K 
[   ]libandroidfw-0_git20250325-r1.apk2025-05-11 11:57 359K 
[   ]libandroidfw-dev-0_git20250325-r1.apk2025-05-11 11:57 7.2K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 19:07 35K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 19:07 58K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 12:29 368K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 12:29 818K 
[   ]libapk3-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 112K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 19:07 26K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 19:07 4.3K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 19:07 28K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 19:07 26K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 19:07 4.3K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 19:07 5.3K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 19:07 8.0K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 19:07 126K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 19:07 6.4K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 19:07 31K 
[   ]libblastrampoline-5.2.0-r0.apk2024-10-25 19:07 282K 
[   ]libblastrampoline-dev-5.2.0-r0.apk2024-10-25 19:07 94K 
[   ]libbraiding-1.3.1-r0.apk2024-11-20 02:43 74K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-20 02:43 15K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 19:07 40K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 19:07 39K 
[   ]libcec-rpi-6.0.2-r4.apk2024-10-25 19:07 159K 
[   ]libcec-rpi-dev-6.0.2-r4.apk2024-10-25 19:07 25K 
[   ]libcli-1.10.7-r0.apk2024-10-25 19:07 24K 
[   ]libcork-0.15.0-r7.apk2024-10-25 19:07 27K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 19:07 30K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 19:07 4.4K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 19:07 10K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 19:07 8.1K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 19:07 11K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 19:07 7.5K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 19:07 2.5K 
[   ]libcpdf-2.8.1-r0.apk2025-05-11 11:57 2.2M 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-11 11:57 17K 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-11 11:57 3.2M 
[   ]libctl-4.5.1-r1.apk2024-10-25 19:07 84K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 19:07 39K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 19:07 3.0K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 19:07 17K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 19:07 13K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 19:07 8.6K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 19:07 20K 
[   ]libdbusaccess-1.0.20-r0.apk2025-04-13 22:18 14K 
[   ]libdbusaccess-dev-1.0.20-r0.apk2025-04-13 22:18 5.0K 
[   ]libdcmtk-3.6.9-r0.apk2025-01-25 07:04 6.1M 
[   ]libdng-0.2.1-r0.apk2024-12-27 22:09 10K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 22:09 3.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 22:09 4.2K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 22:09 5.7K 
[   ]libeantic-2.1.0-r1.apk2025-06-13 04:56 71K 
[   ]libeantic-dev-2.1.0-r1.apk2025-06-13 04:56 17K 
[   ]libecap-1.0.1-r1.apk2024-10-25 19:07 13K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 19:07 11K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 19:07 18K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 19:07 131K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 19:07 16K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 19:07 33K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 19:07 18K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 19:07 178K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 19:07 7.2K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 19:07 53K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 19:07 75K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 19:07 89K 
[   ]libfort-0.4.2-r0.apk2024-10-25 19:07 26K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 19:07 17K 
[   ]libfyaml-0.9-r0.apk2024-10-25 19:07 228K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 19:07 42K 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 19:07 7.4K 
[   ]libgdcm-3.0.24-r0.apk2024-10-25 19:07 2.5M 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 19:07 71K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 19:07 244K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 19:07 91K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-11 11:57 11K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-11 11:57 5.5K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-11 11:57 25K 
[   ]libgrapheme-1-r0.apk2024-10-25 19:07 9.1K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 19:07 9.4K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 19:07 8.0K 
[   ]libguestfs-1.52.0-r3.apk2025-06-13 14:11 322K 
[   ]libguestfs-dev-1.52.0-r3.apk2025-06-13 14:11 29K 
[   ]libguestfs-doc-1.52.0-r3.apk2025-06-13 14:11 559K 
[   ]libguestfs-static-1.52.0-r3.apk2025-06-13 14:11 454K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 19:07 13K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 19:07 15K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 19:07 5.8K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 19:07 5.2K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 19:07 13K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:44 15K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:44 3.3K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:44 2.2K 
[   ]libigraph-0.10.16-r0.apk2025-06-11 23:26 1.0M 
[   ]libigraph-dev-0.10.16-r0.apk2025-06-11 23:26 91K 
[   ]libiio-0.25-r2.apk2024-10-25 19:07 43K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 19:07 13K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 19:07 18K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 19:07 21K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 19:07 66K 
[   ]libiml-1.0.5-r3.apk2024-10-25 19:07 57K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 19:07 3.9K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 19:07 57K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 08:58 13K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 22:44 23K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 22:44 4.1K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 22:44 7.8K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 19:07 52K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 19:07 20K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 19:07 9.3K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 19:07 65K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 19:07 76K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 19:07 7.0K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 19:07 4.2K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 19:07 3.7K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 19:07 145K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 19:07 20K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:06 120K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:06 32K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:06 128K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:06 206K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:06 24K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:06 222K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 19:07 640K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 19:07 2.3M 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 19:07 93K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 19:07 8.9K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 19:07 31K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 19:07 14K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 19:07 97K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 19:07 110K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 19:07 8.1K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 19:07 27K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 19:07 5.4K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 19:07 19K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 19:07 40K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 19:07 17K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 19:07 28K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 19:07 76K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 19:07 66K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 19:07 12K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 19:07 23K 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 19:07 7.0K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 19:07 1.1M 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:42 1.2K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:42 70K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 19:07 51K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 19:07 7.9K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 19:07 22K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 19:07 56K 
[   ]libnfcdef-1.0.1-r0.apk2025-04-13 22:18 11K 
[   ]libnfcdef-dev-1.0.1-r0.apk2025-04-13 22:18 5.7K 
[   ]libnih-1.0.3-r7.apk2024-10-25 19:07 109K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 19:07 112K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 19:07 2.7K 
[   ]libntl-11.5.1-r4.apk2024-10-25 19:07 961K 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 19:07 159K 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 19:07 374K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 19:07 1.5M 
[   ]libnxml-0.18.3-r0.apk2024-10-25 19:07 17K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 19:07 26K 
[   ]libofx-0.10.9-r1.apk2024-10-25 19:07 59K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 19:07 20K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 19:07 101K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 19:07 111K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 19:07 154K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 19:07 134K 
[   ]libopensles-standalone-0_git20240221-r0.apk2024-10-25 19:07 34K 
[   ]libopensles-standalone-dbg-0_git20240221-r0.apk2024-10-25 19:07 242K 
[   ]libopensles-standalone-dev-0_git20240221-r0.apk2024-10-25 19:07 1.5K 
[   ]libqd-2.3.24-r0.apk2024-10-25 19:07 142K 
[   ]libqd-dev-2.3.24-r0.apk2024-10-25 19:07 58K 
[   ]libqd-doc-2.3.24-r0.apk2024-10-25 19:07 182K 
[   ]libqd-static-2.3.24-r0.apk2024-10-25 19:07 230K 
[   ]libqofono-0.124-r0.apk2025-01-10 15:33 1.2K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 15:33 42K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 15:33 263K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 15:33 356K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-22 12:17 62K 
[   ]libqtdbustest-0.3.3-r1.apk2025-02-22 12:17 28K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 19:07 8.9K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 19:07 4.5K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 19:07 21K 
[   ]libre-3.23.0-r0.apk2025-06-27 07:13 236K 
[   ]libre-dev-3.23.0-r0.apk2025-06-27 07:13 404K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 19:07 45K 
[   ]librespot-0.6.0-r0.apk2024-11-02 00:48 2.5M 
[   ]librespot-openrc-0.6.0-r0.apk2024-11-02 00:48 1.8K 
[   ]libresprite-1.2-r0.apk2025-04-13 22:16 14M 
[   ]libresprite-doc-1.2-r0.apk2025-04-13 22:16 15K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 19:07 250K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 19:07 309K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 19:07 261K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 19:07 1.3M 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 19:07 318K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 19:07 561K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 19:07 197K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 19:07 286K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 19:07 250K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 19:07 516K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 19:07 109K 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 19:07 9.1M 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 19:07 30K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 19:07 140K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 19:07 771K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 19:07 796K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 19:07 160K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 19:07 7.8K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 19:07 150K 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 19:07 2.5M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 19:07 6.4M 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 19:07 131K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 19:07 361K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 19:07 249K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 19:07 420K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 19:07 149K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 19:07 805K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 19:07 408K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 19:07 79K 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-11 18:19 2.2M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 19:07 16M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 19:07 635K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 19:07 866K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 19:07 339K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 19:07 107K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 19:07 91K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 19:07 122K 
[   ]libsds-2.0.0-r1.apk2024-10-25 19:07 8.4K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 19:07 3.8K 
[   ]libsemanage-3.6-r1.apk2024-10-25 19:07 83K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 19:07 129K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 19:07 23K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 19:23 558K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 19:23 335K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 19:23 1.3M 
[   ]libserialport-0.1.1-r1.apk2024-10-25 19:07 20K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 19:07 37K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 19:07 438K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 19:07 31K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 19:07 332K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 19:07 35K 
[   ]libsimpleble-0.8.1-r0.apk2025-02-25 22:20 156K 
[   ]libsimpleble-c-0.8.1-r0.apk2025-02-25 22:20 14K 
[   ]libsimplebluez-0.8.1-r0.apk2025-02-25 22:20 111K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 19:07 54K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 19:07 1.9K 
[   ]libspatialindex-2.1.0-r0.apk2025-05-31 10:32 290K 
[   ]libspatialindex-dev-2.1.0-r0.apk2025-05-31 10:32 21K 
[   ]libstirshaken-0_git20240208-r4.apk2025-05-11 11:57 48K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-05-11 11:57 77K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-05-11 11:57 144K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 19:07 3.8M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 19:07 32K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 19:07 4.2M 
[   ]libtins-4.5-r1.apk2024-10-25 19:07 272K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 19:07 141K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 19:07 2.3K 
[   ]libtommath-1.2.1-r1.apk2025-05-25 07:49 32K 
[   ]libtommath-dev-1.2.1-r1.apk2025-05-25 07:49 56K 
[   ]libtsm-4.0.2-r1.apk2024-10-25 19:07 22K 
[   ]libtsm-dev-4.0.2-r1.apk2024-10-25 19:07 9.5K 
[   ]libucl-0.9.0-r0.apk2024-10-25 19:07 46K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 19:07 71K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 19:07 8.8K 
[   ]libuecc-7-r4.apk2025-03-03 16:22 8.3K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:22 4.6K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 19:07 425K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 19:07 3.4K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 19:07 2.0K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 19:07 63K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 19:07 47K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 19:07 13K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 19:07 2.9K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 19:07 101K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 19:07 305K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 19:07 181K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 19:07 108K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 19:07 9.9K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 19:07 5.7K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 19:07 58K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 19:07 9.0K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 19:07 28K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 19:07 4.5K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 19:07 1.5K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 19:07 1.7K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 07:45 58K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 07:45 29K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:45 170K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:45 67K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:45 63K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 19:07 40K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 19:07 8.1K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 19:07 42K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 154K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]libzvbi-0.2.44-r0.apk2025-03-11 20:40 203K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-11 20:40 14K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-11 20:40 256K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 19:07 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 19:07 18K 
[   ]lidarr-2.12.4.4658-r0.apk2025-06-20 04:10 20M 
[   ]lidarr-openrc-2.12.4.4658-r0.apk2025-06-20 04:10 2.0K 
[   ]limnoria-20240828-r0.apk2024-10-25 19:07 1.1M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 19:07 8.4K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 19:07 1.2M 
[   ]linkchecker-10.5.0-r0.apk2025-05-18 23:04 181K 
[   ]linkchecker-doc-10.5.0-r0.apk2025-05-18 23:04 39K 
[   ]linkchecker-pyc-10.5.0-r0.apk2025-05-18 23:04 254K 
[   ]linkquisition-1.6.1-r5.apk2025-05-12 07:06 12M 
[   ]linphone-5.3.38-r0.apk2024-10-25 19:07 9.0M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 19:07 250K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 19:07 2.4M 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 19:07 197K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 19:07 5.1K 
[   ]linuxptp-4.4-r0.apk2024-11-20 02:43 1.2K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-20 02:43 38K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-20 02:43 4.2K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-20 02:43 31K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-20 02:43 35K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-20 02:43 10K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-20 02:43 33K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-20 02:43 73K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-20 02:43 14K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-20 02:43 35K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-20 02:43 18K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 19:07 32M 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 19:07 57K 
[   ]litehtml-0.9-r2.apk2025-02-22 12:17 260K 
[   ]litehtml-dev-0.9-r2.apk2025-02-22 12:17 42K 
[   ]litehtml-static-0.9-r2.apk2025-02-22 12:17 456K 
[   ]litterbox-1.9-r1.apk2024-10-25 19:07 27K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 19:07 7.2K 
[   ]lizardfs-3.13.0-r17.apk2025-06-20 04:10 96K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-20 04:10 1.9K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-20 04:10 31K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-20 04:10 7.4K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-20 04:10 2.0K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-20 04:10 270K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-20 04:10 1.7K 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-20 04:10 925K 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-20 04:10 11K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-20 04:10 702K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-20 04:10 1.6K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-20 04:10 112K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-20 04:10 1.6K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 11:44 105K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 11:44 22K 
[   ]llmnrd-0.7-r1.apk2024-10-25 19:07 16K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 19:07 3.0K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 19:07 1.9K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 19:07 5.1K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 19:07 65K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 19:07 39K 
[   ]log4cxx-1.1.0-r3.apk2025-02-22 12:17 449K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-22 12:17 135K 
[   ]logc-0.5.0-r1.apk2025-06-13 14:11 6.8K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 14:11 14K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 14:11 4.7K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 19:07 3.8K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 14:11 8.6K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 19:07 3.2K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 19:07 1.4K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 19:07 5.2K 
[   ]logtop-0.7-r0.apk2024-10-25 19:07 11K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 19:07 2.8K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 19:07 12K 
[   ]logwatch-7.10-r1.apk2024-10-25 19:07 483K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 19:07 38K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 19:07 397K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 19:07 6.5K 
[   ]lolcat-1.4-r0.apk2024-10-25 19:07 9.3K 
[   ]lomiri-0.5.0-r0.apk2025-05-11 11:57 3.8M 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-11 18:19 70K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-11 18:19 5.0K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-22 12:17 30K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-22 12:17 32K 
[   ]lomiri-app-launch-0.1.12-r0.apk2025-05-11 11:57 288K 
[   ]lomiri-app-launch-dev-0.1.12-r0.apk2025-05-11 11:57 20K 
[   ]lomiri-calculator-app-4.0.2-r1.apk2025-03-13 06:04 374K 
[   ]lomiri-calculator-app-lang-4.0.2-r1.apk2025-03-13 06:04 34K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-11 11:57 213K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-11 11:57 456K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-13 22:06 253K 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-13 22:06 11K 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-13 22:06 1.4M 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-13 22:06 47K 
[   ]lomiri-docviewer-app-3.0.4-r2.apk2025-03-13 06:04 206K 
[   ]lomiri-docviewer-app-doc-3.0.4-r2.apk2025-03-13 06:04 2.0K 
[   ]lomiri-docviewer-app-lang-3.0.4-r2.apk2025-03-13 06:04 116K 
[   ]lomiri-download-manager-0.2.1-r0.apk2025-04-14 00:06 506K 
[   ]lomiri-download-manager-dev-0.2.1-r0.apk2025-04-14 00:06 17K 
[   ]lomiri-download-manager-doc-0.2.1-r0.apk2025-04-14 00:06 3.4M 
[   ]lomiri-download-manager-lang-0.2.1-r0.apk2025-04-14 00:06 30K 
[   ]lomiri-filemanager-app-1.0.4-r1.apk2025-03-13 06:04 305K 
[   ]lomiri-filemanager-app-lang-1.0.4-r1.apk2025-03-13 06:04 171K 
[   ]lomiri-gallery-app-3.0.2-r1.apk2025-03-13 06:04 3.7M 
[   ]lomiri-gallery-app-lang-3.0.2-r1.apk2025-03-13 06:04 106K 
[   ]lomiri-history-service-0.6-r7.apk2025-06-26 23:03 311K 
[   ]lomiri-history-service-dev-0.6-r7.apk2025-06-26 23:03 11K 
[   ]lomiri-indicator-location-25.4.22-r0.apk2025-05-19 12:22 26K 
[   ]lomiri-indicator-location-lang-25.4.22-r0.apk2025-05-19 12:22 27K 
[   ]lomiri-indicator-network-1.1.1-r0.apk2025-05-11 11:57 540K 
[   ]lomiri-indicator-network-dev-1.1.1-r0.apk2025-05-11 11:57 9.5K 
[   ]lomiri-indicator-network-doc-1.1.1-r0.apk2025-05-11 11:57 2.1K 
[   ]lomiri-indicator-network-lang-1.1.1-r0.apk2025-05-11 11:57 196K 
[   ]lomiri-lang-0.5.0-r0.apk2025-05-11 11:57 269K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-22 12:17 141K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-22 12:17 7.8K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-22 12:17 228K 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-22 12:17 43K 
[   ]lomiri-location-service-3.3.0-r4.apk2025-06-26 13:55 1.9M 
[   ]lomiri-location-service-dev-3.3.0-r4.apk2025-06-26 13:55 30K 
[   ]lomiri-location-service-doc-3.3.0-r4.apk2025-06-26 13:55 2.9K 
[   ]lomiri-location-service-lang-3.3.0-r4.apk2025-06-26 13:55 26K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:07 84K 
[   ]lomiri-schemas-0.1.8-r0.apk2025-05-11 11:57 10K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 10:07 216K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 10:07 98K 
[   ]lomiri-sounds-22.02-r1.apk2025-03-13 06:04 18M 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-11 11:57 1.0M 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-11 11:57 846K 
[   ]lomiri-telephony-service-0.6.1-r2.apk2025-06-26 23:03 891K 
[   ]lomiri-telephony-service-lang-0.6.1-r2.apk2025-06-26 23:03 108K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-11 11:57 62K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-11 11:57 2.7K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-11 11:57 91K 
[   ]lomiri-thumbnailer-3.0.4-r2.apk2025-02-22 12:17 193K 
[   ]lomiri-thumbnailer-dev-3.0.4-r2.apk2025-02-22 12:17 5.0K 
[   ]lomiri-thumbnailer-doc-3.0.4-r2.apk2025-02-22 12:17 1.5K 
[   ]lomiri-trust-store-2.0.2-r9.apk2025-06-26 13:55 857K 
[   ]lomiri-trust-store-dev-2.0.2-r9.apk2025-06-26 13:55 9.1K 
[   ]lomiri-trust-store-lang-2.0.2-r9.apk2025-06-26 13:55 28K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-11 18:19 232K 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-11 18:19 52K 
[   ]lomiri-ui-toolkit-1.3.5110-r0.apk2025-01-10 10:07 1.2M 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r0.apk2025-01-10 10:07 168K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r0.apk2025-01-10 10:07 96K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-11 11:57 34K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-11 11:57 3.3K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-11 11:57 26K 
[   ]lomiri-weather-app-6.0.2-r0.apk2025-03-11 12:02 295K 
[   ]lomiri-weather-app-lang-6.0.2-r0.apk2025-03-11 12:02 214K 
[   ]lotide-0.15.0-r0.apk2024-10-25 19:07 3.2M 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 19:07 3.1K 
[   ]lout-3.42.2-r0.apk2024-10-25 19:07 1.4M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 19:07 453K 
[   ]lowjs-1.6.2-r2.apk2024-10-25 19:07 1.3M 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 19:07 3.0K 
[   ]lrcalc-2.1-r1.apk2024-10-25 19:07 10K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 19:07 11K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 19:07 21K 
[   ]lsdvd-0.17-r0.apk2024-10-25 19:07 12K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 19:07 2.5K 
[   ]lshell-0.9.18-r11.apk2024-10-25 19:07 36K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 19:07 25K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 19:07 35K 
[   ]lsix-1.8.2-r0.apk2024-10-25 19:07 6.5K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 19:07 251K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 19:07 326K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 19:07 1.2K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 19:07 3.4K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 19:07 9.1K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 19:07 1.4K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 19:07 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 19:07 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 19:07 19K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 19:07 1.2K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 19:07 1.5K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 19:07 20K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 19:07 89K 
[   ]lua-psl-0.3-r0.apk2024-10-25 19:07 1.1K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 19:07 5.3K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 19:07 3.6K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 19:07 1.4K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 19:07 55K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 19:07 21K 
[   ]lua5.1-libguestfs-1.52.0-r3.apk2025-06-13 14:11 74K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 19:07 8.6K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 19:07 15K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 19:07 23K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 19:07 413K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 19:07 62K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 19:07 5.2K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 19:07 21K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 19:07 4.0K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 19:07 55K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 19:07 8.6K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 19:07 15K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 19:07 23K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 19:07 413K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 19:07 8.7K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 19:07 5.1K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 19:07 21K 
[   ]lua5.3-apk3-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 5.4K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 19:07 4.0K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 19:07 56K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 19:07 15K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 19:07 23K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 19:07 413K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 19:07 8.7K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 19:07 5.2K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 19:07 4.1K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 19:07 56K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 19:07 15K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 19:07 23K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 19:07 8.8K 
[   ]luacov-0.15.0-r0.apk2024-10-25 19:07 1.5K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 19:07 1.2K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 19:07 35K 
[   ]luksmeta-9-r0.apk2024-10-25 19:07 12K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 19:07 3.1K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 19:07 5.5K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 19:07 1.2K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 19:07 152K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 19:07 8.8M 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 19:07 764K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 19:07 12K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 19:07 145K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 19:07 360K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 19:07 185K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 19:07 113K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 19:07 149K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 19:07 86K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 19:07 175K 
[   ]lumins-0.4.0-r2.apk2024-10-25 19:07 589K 
[   ]lutgen-0.11.2-r0.apk2024-10-25 19:07 1.4M 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 19:07 1.7K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 19:07 4.2K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 19:07 1.7K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 19:07 1.6K 
[   ]lutris-0.5.19-r0.apk2025-05-26 11:10 819K 
[   ]lutris-doc-0.5.19-r0.apk2025-05-26 11:10 2.3K 
[   ]lutris-lang-0.5.19-r0.apk2025-05-26 11:10 810K 
[   ]lutris-pyc-0.5.19-r0.apk2025-05-26 11:10 1.1M 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 19:07 28K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 19:07 3.2K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 19:07 2.6K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 19:07 80K 
[   ]lxd-5.0.3-r11.apk2025-05-12 07:06 15M 
[   ]lxd-bash-completion-5.0.3-r11.apk2025-05-12 07:06 5.1K 
[   ]lxd-client-5.0.3-r11.apk2025-05-12 07:06 6.2M 
[   ]lxd-feature-5.20-r11.apk2025-05-12 07:06 68M 
[   ]lxd-feature-bash-completion-5.20-r11.apk2025-05-12 07:06 5.1K 
[   ]lxd-feature-doc-5.20-r11.apk2025-05-12 07:06 1.7K 
[   ]lxd-feature-openrc-5.20-r11.apk2025-05-12 07:06 2.4K 
[   ]lxd-feature-scripts-5.20-r11.apk2025-05-12 07:06 2.1K 
[   ]lxd-openrc-5.0.3-r11.apk2025-05-12 07:06 2.5K 
[   ]lxd-scripts-5.0.3-r11.apk2025-05-12 07:06 26M 
[   ]lxd-vm-5.0.3-r11.apk2025-05-12 07:06 1.3K 
[   ]lxqt-wayland-session-0.2.0-r0.apk2025-05-24 22:16 336K 
[   ]lxqt-wayland-session-doc-0.2.0-r0.apk2025-05-24 22:16 29K 
[   ]lychee-0.19.1-r0.apk2025-06-20 04:10 2.6M 
[   ]lychee-doc-0.19.1-r0.apk2025-06-20 04:10 13K 
[   ]lynis-3.1.1-r0.apk2024-10-25 19:07 271K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 19:07 3.0K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 19:07 49K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:09 38K 
[   ]lzfse-1.0-r0.apk2024-10-25 19:07 19K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 19:07 3.4K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 19:07 13K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 19:07 16K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 19:07 38M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 19:07 2.0K 
[   ]maddy-0.8.1-r3.apk2025-05-12 07:06 9.8M 
[   ]maddy-doc-0.8.1-r3.apk2025-05-12 07:06 2.4K 
[   ]maddy-openrc-0.8.1-r3.apk2025-05-12 07:06 2.0K 
[   ]maddy-vim-0.8.1-r3.apk2025-05-12 07:06 3.6K 
[   ]mage-1.13.0-r23.apk2025-05-12 07:06 1.5M 
[   ]maildir2rss-0.0.7-r5.apk2025-05-12 07:06 3.5M 
[   ]mailsec-check-0_git20210729-r26.apk2025-05-12 07:06 2.6M 
[   ]makeclapman-2.4.4-r5.apk2025-05-12 07:06 1.3M 
[   ]makeclapman-doc-2.4.4-r5.apk2025-05-12 07:06 4.2K 
[   ]makedumpfile-1.7.7-r0.apk2025-04-22 21:24 161K 
[   ]makedumpfile-doc-1.7.7-r0.apk2025-04-22 21:24 24K 
[   ]makedumpfile-openrc-1.7.7-r0.apk2025-04-22 21:24 2.9K 
[   ]makeself-2.5.0-r0.apk2024-10-25 19:07 13K 
[   ]malcontent-0.12.0-r0.apk2025-05-11 11:57 143K 
[   ]malcontent-dev-0.12.0-r0.apk2025-05-11 11:57 24K 
[   ]malcontent-doc-0.12.0-r0.apk2025-05-11 11:57 45K 
[   ]mame-0.251-r0.apk2024-10-25 19:07 83M 
[   ]mame-arcade-0.251-r0.apk2024-10-25 19:07 57M 
[   ]mame-common-0.251-r0.apk2024-10-25 19:07 2.7K 
[   ]mame-data-0.251-r0.apk2024-10-25 19:07 19M 
[   ]mame-doc-0.251-r0.apk2024-10-25 19:07 24K 
[   ]mame-lang-0.251-r0.apk2024-10-25 19:07 1.4M 
[   ]mame-mess-0.251-r0.apk2024-10-25 19:07 44M 
[   ]mame-plugins-0.251-r0.apk2024-10-25 19:07 166K 
[   ]mame-tools-0.251-r0.apk2024-10-25 19:07 2.2M 
[   ]mangal-4.0.6-r18.apk2025-05-12 07:06 10M 
[   ]mangal-bash-completion-4.0.6-r18.apk2025-05-12 07:06 5.0K 
[   ]mangal-fish-completion-4.0.6-r18.apk2025-05-12 07:06 3.9K 
[   ]mangal-zsh-completion-4.0.6-r18.apk2025-05-12 07:06 4.0K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 19:07 2.8K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 19:07 14K 
[   ]manifest-tool-2.2.0-r1.apk2025-05-12 07:06 3.8M 
[   ]mapnik-4.0.6-r1.apk2025-06-10 10:48 11M 
[   ]mapnik-dev-4.0.6-r1.apk2025-06-10 10:48 486K 
[   ]mapnik-doc-4.0.6-r1.apk2025-06-10 10:48 142K 
[   ]mapserver-8.4.0-r2.apk2025-06-10 10:48 1.2M 
[   ]mapserver-dev-8.4.0-r2.apk2025-06-10 10:48 540K 
[   ]marxan-4.0.7-r1.apk2024-10-25 19:07 476K 
[   ]masky-0.2.0-r2.apk2025-05-29 11:58 277K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 11:58 64K 
[   ]mat2-0.13.4-r3.apk2024-10-25 19:07 35K 
[   ]mat2-doc-0.13.4-r3.apk2024-10-25 19:07 7.7K 
[   ]mat2-pyc-0.13.4-r3.apk2024-10-25 19:07 54K 
[   ]materia-20210322-r1.apk2024-10-25 19:07 1.7K 
[   ]materia-chromium-20210322-r1.apk2024-10-25 19:07 5.7K 
[   ]materia-compact-20210322-r1.apk2024-10-25 19:07 1.7K 
[   ]materia-compact-chromium-20210322-r1.apk2024-10-25 19:07 5.7K 
[   ]materia-compact-gnome-shell-20210322-r1.apk2024-10-25 19:07 32K 
[   ]materia-compact-gtk2-20210322-r1.apk2024-10-25 19:07 38K 
[   ]materia-compact-gtk3-20210322-r1.apk2024-10-25 19:07 65K 
[   ]materia-dark-20210322-r1.apk2024-10-25 19:07 1.7K 
[   ]materia-dark-chromium-20210322-r1.apk2024-10-25 19:07 5.7K 
[   ]materia-dark-compact-20210322-r1.apk2024-10-25 19:07 1.7K 
[   ]materia-dark-compact-chromium-20210322-r1.apk2024-10-25 19:07 5.7K 
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2024-10-25 19:07 32K 
[   ]materia-dark-compact-gtk2-20210322-r1.apk2024-10-25 19:07 38K 
[   ]materia-dark-compact-gtk3-20210322-r1.apk2024-10-25 19:07 41K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 19:07 1.5K 
[   ]materia-dark-gnome-shell-20210322-r1.apk2024-10-25 19:07 32K 
[   ]materia-dark-gtk2-20210322-r1.apk2024-10-25 19:07 38K 
[   ]materia-dark-gtk3-20210322-r1.apk2024-10-25 19:07 41K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 19:07 1.8K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 19:07 30K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 19:07 503K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 19:07 22K 
[   ]materia-gnome-shell-20210322-r1.apk2024-10-25 19:07 32K 
[   ]materia-gtk-theme-20210322-r1.apk2024-10-25 19:07 151K 
[   ]materia-gtk2-20210322-r1.apk2024-10-25 19:07 38K 
[   ]materia-gtk3-20210322-r1.apk2024-10-25 19:07 65K 
[   ]materia-kde-20220823-r0.apk2024-10-25 19:07 19K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 19:07 1.8K 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 19:07 30K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 19:07 1.7M 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 19:07 1.5K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 19:07 30K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 19:07 20K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 19:07 21K 
[   ]maxima-5.47.0-r8.apk2024-10-25 19:07 21M 
[   ]maxima-bash-completion-5.47.0-r8.apk2024-10-25 19:07 2.4K 
[   ]maxima-doc-5.47.0-r8.apk2024-10-25 19:07 761K 
[   ]maxima-doc-extra-5.47.0-r8.apk2024-10-25 19:07 10M 
[   ]maxima-emacs-5.47.0-r8.apk2024-10-25 19:07 111K 
[   ]mbrola-3.3-r0.apk2024-10-25 19:07 18K 
[   ]mcjoin-2.11-r0.apk2024-10-25 19:07 21K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 19:07 54K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 19:07 11K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 19:07 4.0K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 19:07 8.9K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-20 04:10 945K 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 12:08 668K 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 13:32 1.0M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:17 2.5M 
[   ]mdbook-mermaid-0.15.0-r0.apk2025-05-17 13:32 1.6M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 19:07 819K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 18:04 2.8M 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 18:04 2.2K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 18:04 6.0K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 18:04 2.1K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 18:04 2.4K 
[   ]mdnsd-0.12-r1.apk2024-10-25 19:07 21K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 19:07 14K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 19:07 15K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 19:07 2.1K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 19:07 15K 
[   ]mdp-1.0.15-r1.apk2024-10-25 19:07 15K 
[   ]mdp-doc-1.0.15-r1.apk2024-10-25 19:07 3.7K 
[   ]mediascanner2-0.115-r3.apk2025-03-13 06:04 228K 
[   ]mediastreamer2-5.3.100-r1.apk2025-06-01 15:47 314K 
[   ]mediastreamer2-dev-5.3.100-r1.apk2025-06-01 15:47 110K 
[   ]mediastreamer2-doc-5.3.100-r1.apk2025-06-01 15:47 108K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-10 20:53 10K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 19:07 7.3K 
[   ]meep-1.30.0-r0.apk2025-05-11 11:57 581K 
[   ]meep-dev-1.30.0-r0.apk2025-05-11 11:57 481K 
[   ]megatools-1.11.4.20250411-r0.apk2025-05-11 11:57 60K 
[   ]megatools-bash-completion-1.11.4.20250411-r0.apk2025-05-11 11:57 4.1K 
[   ]megatools-doc-1.11.4.20250411-r0.apk2025-05-11 11:57 52K 
[   ]megazeux-2.93d-r0.apk2025-06-10 13:44 1.2M 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 13:44 465K 
[   ]meli-0.8.11-r0.apk2025-05-11 11:57 4.4M 
[   ]meli-doc-0.8.11-r0.apk2025-05-11 11:57 48K 
[   ]memdump-1.01-r1.apk2024-10-25 19:07 5.2K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 19:07 3.1K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 19:07 111K 
[   ]merge-usr-0_git20250530-r0.apk2025-05-31 16:20 9.1K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 19:07 255K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 19:07 42K 
[   ]merlin-4.14-r0.apk2024-10-25 19:07 14M 
[   ]merlin-dev-4.14-r0.apk2024-10-25 19:07 23M 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 19:07 29K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 19:07 28K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 7.5K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.3K 
[   ]mesonlsp-4.3.7-r4.apk2025-06-20 04:10 2.0M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-02-01 17:06 49K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-02-01 17:06 1.9M 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-02-01 17:06 66K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 19:07 54K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 19:07 921K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 19:07 50K 
[   ]mimalloc1-1.9.3-r1.apk2025-05-18 16:00 61K 
[   ]mimalloc1-debug-1.9.3-r1.apk2025-05-18 16:00 200K 
[   ]mimalloc1-dev-1.9.3-r1.apk2025-05-18 16:00 444K 
[   ]mimalloc1-insecure-1.9.3-r1.apk2025-05-18 16:00 58K 
[   ]mimedefang-3.6-r0.apk2025-03-02 12:17 153K 
[   ]mimedefang-doc-3.6-r0.apk2025-03-02 12:17 80K 
[   ]mimeo-2023-r2.apk2024-10-25 19:07 28K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 19:07 42K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 19:07 12K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 19:07 5.1K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 19:07 1.8K 
[   ]minigalaxy-1.3.2-r0.apk2025-05-26 11:10 168K 
[   ]minigalaxy-pyc-1.3.2-r0.apk2025-05-26 11:10 94K 
[   ]minimodem-0.24-r1.apk2024-10-25 19:07 19K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 19:07 5.2K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 19:07 310K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 19:07 1.9K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 19:07 2.2K 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 19:07 13K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 10:07 22M 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 10:07 7.4K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 19:07 2.3K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 19:07 490K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 19:07 603K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 19:07 510K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 19:07 6.1K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 19:07 35K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 22:11 72M 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 22:11 11K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 19:07 4.1K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 19:07 656K 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 19:07 1.8M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 19:07 1.6M 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 19:07 58K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 19:07 207K 
[   ]mir-2.20.2-r2.apk2025-06-26 13:55 1.9M 
[   ]mir-demos-2.20.2-r2.apk2025-06-26 13:55 131K 
[   ]mir-dev-2.20.2-r2.apk2025-06-26 13:55 7.2M 
[   ]mir-test-tools-2.20.2-r2.apk2025-06-26 13:55 252K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 13:44 26K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 15:03 178K 
[   ]mjpg-streamer-input-raspicam-0_git20210220-r2.apk2025-05-14 15:03 15K 
[   ]mkcert-1.4.4-r19.apk2025-05-12 07:06 1.8M 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 19:07 29K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 19:07 260K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 19:07 791K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 19:07 538K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 19:07 4.7K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 19:07 249K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 19:07 651K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 19:07 644K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 19:07 11K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 19:07 248K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 19:07 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 19:07 944K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 19:07 1.8K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 19:07 15K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 19:07 3.1K 
[   ]mkosi-25.3-r0.apk2025-02-04 17:20 251K 
[   ]mkosi-pyc-25.3-r0.apk2025-02-04 17:20 393K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 19:07 9.5K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 19:07 2.5K 
[   ]mlxl-0.1-r0.apk2024-10-25 19:07 5.3K 
[   ]mm-1.4.2-r1.apk2024-10-25 19:07 6.7K 
[   ]mm-common-1.0.5-r0.apk2024-10-25 19:07 473K 
[   ]mm-common-doc-1.0.5-r0.apk2024-10-25 19:07 32K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 19:07 11K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 19:07 14K 
[   ]mmar-0.2.5-r1.apk2025-05-12 07:06 2.5M 
[   ]mmix-0_git20221025-r0.apk2024-10-25 19:07 165K 
[   ]mml-1.0.0-r0.apk2024-10-25 19:07 767K 
[   ]mml-bash-completion-1.0.0-r0.apk2024-10-25 19:07 2.3K 
[   ]mml-doc-1.0.0-r0.apk2024-10-25 19:07 3.9K 
[   ]mml-fish-completion-1.0.0-r0.apk2024-10-25 19:07 2.3K 
[   ]mml-zsh-completion-1.0.0-r0.apk2024-10-25 19:07 2.8K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 19:07 32K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 19:07 60K 
[   ]mobpass-0.2-r6.apk2024-10-25 19:07 18K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 19:07 5.2K 
[   ]mobroute-0.9.0-r5.apk2025-05-12 07:06 4.4M 
[   ]mobroute-doc-0.9.0-r5.apk2025-05-12 07:06 1.3M 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 19:07 7.7K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 19:07 305K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 19:07 3.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 19:07 129K 
[   ]moe-1.14-r0.apk2024-10-25 19:07 92K 
[   ]moe-doc-1.14-r0.apk2024-10-25 19:07 19K 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 19:07 114M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 19:07 2.1M 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 19:07 77K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 19:07 321K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 19:07 159K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 19:07 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:11 84K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:11 1.7K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 19:07 32K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 19:07 7.1K 
[   ]moosefs-4.56.6-r2.apk2025-06-20 04:10 262K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-20 04:10 121K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-20 04:10 7.8K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-20 04:10 2.0K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-20 04:10 207K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-20 04:10 1.7K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-20 04:10 638K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-20 04:10 95K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-20 04:10 340K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-20 04:10 1.7K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-20 04:10 38K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-20 04:10 1.7K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-20 04:10 704K 
[   ]morph-browser-1.1.2-r0.apk2025-01-26 01:34 517K 
[   ]morph-browser-lang-1.1.2-r0.apk2025-01-26 01:34 327K 
[   ]motion-4.7.0-r0.apk2024-10-25 19:07 133K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 19:07 140K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 19:07 471K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 19:07 2.2K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 19:07 12K 
[   ]mpdcron-0.3-r1.apk2024-10-25 19:07 90K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 19:07 52K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 19:07 13K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 19:07 2.9K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 19:07 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 19:07 15K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 19:07 2.3K 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-20 04:10 1.2M 
[   ]mqtt2prometheus-0.1.7-r16.apk2025-05-12 07:06 4.3M 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 19:07 5.0K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 19:07 206K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 19:07 10K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 19:07 50K 
[   ]msgpuck-2.0-r1.apk2024-10-25 19:07 1.2K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 19:07 22K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 19:07 7.3K 
[   ]msh-2.5.0-r12.apk2025-05-12 07:06 2.9M 
[   ]msh-openrc-2.5.0-r12.apk2025-05-12 07:06 2.0K 
[   ]mspdebug-0.25-r1.apk2024-10-25 19:07 161K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 19:07 14K 
[   ]muse-4.2.1-r2.apk2025-05-12 11:42 5.6M 
[   ]muse-doc-4.2.1-r2.apk2025-05-12 11:42 4.1M 
[   ]musikcube-3.0.4-r1.apk2025-01-26 19:29 2.0M 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 19:29 19K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 19:29 1.3K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 19:29 64K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 19:29 18K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 19:29 24K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 19:29 327K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 19:29 17K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 19:29 22K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 19:29 30K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 19:07 60K 
[   ]n30f-2.0-r3.apk2024-10-25 19:07 6.3K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 19:07 2.2K 
[   ]nauty-2.8.9-r0.apk2024-10-25 19:07 4.6M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 19:07 2.5M 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 19:07 1.8M 
[   ]nb-7.19.1-r0.apk2025-05-24 22:10 152K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:10 77K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:10 2.8K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:10 1.3K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:10 3.0K 
[   ]nbsdgames-5-r0.apk2024-10-25 19:07 85K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 19:07 9.4K 
[   ]neard-0.19-r0.apk2024-10-25 19:07 124K 
[   ]neard-dev-0.19-r0.apk2024-10-25 19:07 11K 
[   ]neard-doc-0.19-r0.apk2024-10-25 19:07 5.6K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 19:07 1.7K 
[   ]neko-2.3.0-r0.apk2024-11-21 01:04 421K 
[   ]neko-dev-2.3.0-r0.apk2024-11-21 01:04 10K 
[   ]neko-doc-2.3.0-r0.apk2024-11-21 01:04 20K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 19:07 20K 
[   ]neocmakelsp-0.8.22-r0.apk2025-05-11 11:57 1.5M 
[   ]neocmakelsp-bash-completion-0.8.22-r0.apk2025-05-11 11:57 2.0K 
[   ]neocmakelsp-doc-0.8.22-r0.apk2025-05-11 11:57 5.6K 
[   ]neocmakelsp-fish-completion-0.8.22-r0.apk2025-05-11 11:57 1.6K 
[   ]neocmakelsp-zsh-completion-0.8.22-r0.apk2025-05-11 11:57 1.8K 
[   ]neofetch-7.1.0-r2.apk2024-11-07 05:39 86K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-07 05:39 6.2K 
[   ]nerdlog-1.10.0-r0.apk2025-06-09 07:51 2.7M 
[   ]nerdlog-doc-1.10.0-r0.apk2025-06-09 07:51 13K 
[   ]net-predictable-1.5.1-r0.apk2025-05-27 21:41 899K 
[   ]net-predictable-doc-1.5.1-r0.apk2025-05-27 21:41 2.2K 
[   ]netdiscover-0.20-r0.apk2025-05-17 21:48 541K 
[   ]netdiscover-doc-0.20-r0.apk2025-05-17 21:48 4.2K 
[   ]netscanner-0.5.1-r1.apk2024-10-25 19:07 3.4M 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 19:07 3.3K 
[   ]netsed-1.3-r3.apk2024-10-25 19:07 9.3K 
[   ]netstandard21-targeting-pack-6.0.136-r1.apk2024-11-25 05:20 1.9M 
[   ]networkmanager-openconnect-1.2.10-r1.apk2025-04-07 22:00 54K 
[   ]networkmanager-openconnect-lang-1.2.10-r1.apk2025-04-07 22:00 1.0M 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 19:07 18K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 19:07 24K 
[   ]nextpnr-0.7-r0.apk2024-10-25 19:07 1.4K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 19:07 25M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 19:07 650K 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 19:07 1.4M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 19:07 68M 
[   ]nfcd-1.2.2-r0.apk2025-05-11 11:57 240K 
[   ]nfcd-dev-1.2.2-r0.apk2025-05-11 11:57 24K 
[   ]nfoview-2.1-r0.apk2025-04-13 10:41 39K 
[   ]nfoview-doc-2.1-r0.apk2025-04-13 10:41 8.0K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 19:07 713K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 19:07 21K 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-11 11:57 1.6M 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-11 11:57 2.6K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-11 11:57 757K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-11 11:57 789K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 19:07 473K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 19:07 190K 
[   ]nitrocli-0.4.1-r3.apk2024-10-25 19:07 377K 
[   ]nitrocli-bash-completion-0.4.1-r3.apk2024-10-25 19:07 3.2K 
[   ]nitrocli-doc-0.4.1-r3.apk2024-10-25 19:07 8.8K 
[   ]nixpacks-0.1.7-r1.apk2024-10-25 19:07 800K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 19:07 12K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 19:07 2.9K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 19:07 7.0K 
[   ]nlopt-2.10.0-r1.apk2025-06-04 19:34 160K 
[   ]nlopt-dev-2.10.0-r1.apk2025-06-04 19:34 12K 
[   ]nlopt-doc-2.10.0-r1.apk2025-06-04 19:34 23K 
[   ]nlopt-guile-2.10.0-r1.apk2025-06-04 19:34 40K 
[   ]nlopt-octave-2.10.0-r1.apk2025-06-04 19:34 26K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 19:07 91K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 19:07 27K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 11:58 20K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 11:58 2.0K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 11:58 808K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 19:07 378K 
[   ]noggin-0.1-r17.apk2025-05-12 07:06 1.3M 
[   ]noggin-doc-0.1-r17.apk2025-05-12 07:06 2.9K 
[   ]noggin-model-0.1-r0.apk2024-10-25 19:07 12M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 19:07 1.7M 
[   ]noice-0.8-r1.apk2024-10-25 19:07 8.2K 
[   ]noice-doc-0.8-r1.apk2024-10-25 19:07 3.4K 
[   ]nom-2.8.0-r3.apk2025-05-12 07:06 6.8M 
[   ]nom-doc-2.8.0-r3.apk2025-05-12 07:06 4.0K 
[   ]nomadnet-0.7.0-r0.apk2025-05-27 21:41 143K 
[   ]nomadnet-pyc-0.7.0-r0.apk2025-05-27 21:41 284K 
[   ]normaliz-3.10.4-r2.apk2025-06-13 04:56 37K 
[   ]normaliz-dev-3.10.4-r2.apk2025-06-13 04:56 73K 
[   ]normaliz-libs-3.10.4-r2.apk2025-06-13 04:56 2.4M 
[   ]notification-daemon-3.20.0-r0.apk2024-10-25 19:07 57K 
[   ]nsh-0.4.2-r1.apk2024-10-25 19:07 529K 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 19:07 3.3M 
[   ]nsnake-3.0.0-r0.apk2024-10-25 19:07 8.2K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 19:07 2.6K 
[   ]nsq-1.3.0-r10.apk2025-05-12 07:06 25M 
[   ]ntpd-rs-1.6.0-r0.apk2025-06-27 13:10 2.8M 
[   ]ntpd-rs-doc-1.6.0-r0.apk2025-06-27 13:10 24K 
[   ]ntpd-rs-openrc-1.6.0-r0.apk2025-06-27 13:10 1.9K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 19:07 220K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 19:07 42K 
[   ]nullmailer-2.2-r4.apk2024-10-25 19:07 74K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 19:07 10K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 19:07 1.6K 
[   ]numbat-1.9.0-r0.apk2024-10-25 19:07 1.0M 
[   ]numbat-doc-1.9.0-r0.apk2024-10-25 19:07 25K 
[   ]nuzzle-1.6-r0.apk2025-01-25 07:04 11K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-25 07:04 3.2K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 19:07 55K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 19:07 7.8K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 19:07 4.2K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 19:07 3.3K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 19:07 1.8K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 19:07 10K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 19:07 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 19:07 2.6K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 19:07 3.5K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 19:07 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 19:07 3.8K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 19:07 2.0K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 19:07 10K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 19:07 2.8K 
[   ]nvim-lualine-0.0.0_git20241101-r0.apk2024-11-13 23:16 60K 
[   ]nvim-lualine-doc-0.0.0_git20241101-r0.apk2024-11-13 23:16 31K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 19:07 46K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 19:07 21K 
[   ]nvim-plenary-0.1.4_git20240917-r0.apk2024-11-11 04:07 102K 
[   ]nvim-plenary-doc-0.1.4_git20240917-r0.apk2024-11-11 04:07 10K 
[   ]nvim-treesitter-0.10.0-r0.apk2025-06-01 15:47 412K 
[   ]nvim-treesitter-doc-0.10.0-r0.apk2025-06-01 15:47 31K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 03:57 27K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 03:57 7.1K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 19:07 13K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 19:07 4.4K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 19:07 1.8K 
[   ]nvtop-3.2.0-r0.apk2025-05-11 11:57 64K 
[   ]nvtop-doc-3.2.0-r0.apk2025-05-11 11:57 3.5K 
[   ]nwg-bar-0.1.6-r10.apk2025-05-12 07:06 1.5M 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 19:07 24K 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 19:07 35K 
[   ]nwg-dock-0.4.3-r0.apk2025-06-21 14:23 1.6M 
[   ]nwg-panel-0.10.7-r0.apk2025-06-13 14:31 282K 
[   ]nwg-panel-doc-0.10.7-r0.apk2025-06-13 14:31 4.4K 
[   ]nwg-panel-pyc-0.10.7-r0.apk2025-06-13 14:31 268K 
[   ]nwipe-0.38-r0.apk2025-06-20 04:10 268K 
[   ]nwipe-doc-0.38-r0.apk2025-06-20 04:10 3.8K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 19:07 103K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 19:07 1.7K 
[   ]nzbget-25.0-r0.apk2025-05-12 21:20 4.6M 
[   ]nzbget-openrc-25.0-r0.apk2025-05-12 21:20 2.1K 
[   ]oauth2-proxy-7.8.1-r2.apk2025-05-12 07:06 8.5M 
[   ]oauth2-proxy-openrc-7.8.1-r2.apk2025-05-12 07:06 2.1K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 19:07 211K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-01 15:47 32K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-01 15:47 99K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-01 15:47 233K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:37 134K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:37 33K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 19:07 460K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 19:07 821K 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 19:07 605K 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 19:07 1.0M 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 19:07 176K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 19:07 341K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 19:07 84K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 19:07 159K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 19:07 316K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 19:07 574K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 19:07 286K 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 19:07 180K 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 19:07 6.6M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 19:07 1.9M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 19:07 4.6M 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 19:07 9.6M 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 19:07 89K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 19:07 175K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 19:07 12K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 19:07 12K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 19:07 46K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 19:07 91K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 19:07 555K 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 19:07 365K 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 19:07 4.5M 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 19:07 551K 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 19:07 4.0M 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 19:07 579K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 19:07 461K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 19:07 351K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 19:07 28K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 19:07 40K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 19:07 395K 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 19:07 447K 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 19:07 1.5M 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 19:07 163K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 19:07 449K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 19:07 219K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 19:07 162K 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 19:07 12K 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-11 11:57 6.0M 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 19:07 113K 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 19:07 220K 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 19:07 1.3M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 19:07 5.1M 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 19:07 2.5M 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 19:07 104K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 19:07 189K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 19:07 469K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 19:07 241K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 19:07 20K 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 19:07 677K 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 19:07 1.3M 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 19:07 7.6M 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 19:07 77K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 19:07 104K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 19:07 291K 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 19:07 549K 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 19:07 3.5M 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 19:07 6.7M 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 19:07 22K 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-11 11:57 4.9M 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 19:07 4.1M 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 19:07 709K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 19:07 876K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 19:07 850K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 19:07 134K 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 19:07 312K 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 19:07 2.2M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 19:07 4.2M 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 19:07 10M 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 19:07 74K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 19:07 141K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 19:07 627K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 19:07 333K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 19:07 27K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 19:07 45K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 19:07 59K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 19:07 111K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 19:07 68K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 19:07 120K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 19:07 582K 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 19:07 778K 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 19:07 1.3M 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 19:07 1.7M 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 19:07 43K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 19:07 83K 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 19:07 637K 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 19:07 1.3M 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 19:07 11K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 19:07 32K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 19:07 52K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 19:07 314K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 19:07 598K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 19:07 16K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 19:07 167K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 19:07 429K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 19:07 191K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 19:07 126K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 19:07 139K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 19:07 103K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 19:07 323K 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 19:07 613K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 19:07 3.4M 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 19:07 725K 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 19:07 19K 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 19:07 3.0M 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 19:07 12M 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 19:07 36K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 19:07 75K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 19:07 84K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 19:07 149K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 19:07 39K 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 19:07 59K 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 19:07 2.4M 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 19:07 29K 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 19:07 53K 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 19:07 4.9M 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 19:07 677K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 19:07 14K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 19:07 18K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 19:07 128K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 19:07 274K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 19:07 313K 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 19:07 562K 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 19:07 1.8M 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 19:07 770K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 19:07 52K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 19:07 87K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 19:07 119K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 19:07 67K 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 19:07 435K 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 19:07 7.8M 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 19:07 14M 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 19:07 881K 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 19:07 1.5M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 19:07 2.9M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 19:07 1.5M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 19:07 3.4M 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 19:07 3.8M 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 19:07 8.8K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 19:07 190K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 19:07 348K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 19:07 174K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 19:07 97K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 19:07 14K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 19:07 114K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 19:07 85K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 19:07 76K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 19:07 162K 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 19:07 490K 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 19:07 1.0M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 19:07 1.2M 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 19:07 3.1M 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 19:07 23K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 19:07 40K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 19:07 132K 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 19:07 256K 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 19:07 3.8M 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 19:07 120K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 19:07 29K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 19:07 47K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 19:07 223K 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 19:07 321K 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 19:07 1.0M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 19:07 2.1M 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 16:19 1.3M 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 16:19 898K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 16:19 610K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 19:07 49K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 19:07 91K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 19:07 245K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 19:07 450K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 19:07 69K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 19:07 96K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 19:07 185K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 19:07 296K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 19:07 145K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 19:07 28K 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 19:07 34K 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 19:07 1.1M 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 19:07 5.2M 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 19:07 110K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 19:07 193K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 19:07 20K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 19:07 43K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 19:07 12K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 19:07 20K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 19:07 24K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 19:07 31K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 19:07 7.0K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 19:07 5.4K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 19:07 9.9K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 19:07 8.2K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 19:07 7.2K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 19:07 6.5K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 19:07 156K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 19:07 259K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 19:07 49K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 19:07 48K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 19:07 308K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 19:07 608K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 19:07 256K 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 19:07 94K 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 19:07 1.1M 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 19:07 7.8M 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 19:07 324K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 19:07 538K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 19:07 638K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 19:07 461K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 19:07 529K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 19:07 157K 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 19:07 261K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 19:07 1.6M 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 19:07 8.0K 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 19:07 330K 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 19:07 2.7M 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 19:07 214K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 19:07 444K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 19:07 780K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 19:07 284K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 19:07 527K 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 19:07 536K 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 19:07 1.0M 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 19:07 398K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 19:07 938K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 19:07 17K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 19:07 25K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 19:07 147K 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 19:07 302K 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 19:08 3.7M 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 19:08 19K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 19:08 9.5K 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 19:08 11K 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 19:08 4.9M 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 19:08 1.3M 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 19:08 580K 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 19:08 1.1M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 19:08 13M 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 19:08 16M 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 19:08 97K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 19:08 174K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 19:08 112K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 19:08 76K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 19:08 54K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 19:08 94K 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 19:08 668K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 19:08 1.3M 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 19:08 317K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 19:08 3.6K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 19:08 14K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 19:08 18K 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 19:08 524K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 19:08 1.0M 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 19:08 283K 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 19:08 198K 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 19:08 15M 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 19:08 29M 
[   ]ocaml-result-1.5-r2.apk2024-10-25 19:08 9.0K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 19:08 7.9K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 19:08 38K 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 19:08 35K 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 19:08 4.1M 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 19:08 1.5M 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 19:08 14K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 19:08 20K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 19:08 486K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 19:08 822K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 19:08 171K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 19:08 335K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 19:08 89K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 19:08 247K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 19:08 93K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 19:08 208K 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 19:08 4.2K 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 19:08 6.1M 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 19:08 9.9M 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 19:08 43K 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 19:08 78K 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 19:08 1.2M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 19:08 2.4M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 19:08 1.2M 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 19:08 2.4M 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 19:08 5.6K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 19:08 613K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 19:08 407K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 19:08 16K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 19:08 25K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 19:08 919K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 19:08 576K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 19:08 47K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 19:08 78K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 19:08 62K 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 19:08 109K 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 19:08 1.4M 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 19:08 4.3M 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 19:08 349K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 19:08 763K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 19:08 279K 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 19:08 172K 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 19:08 5.1M 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 19:08 1.1M 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 19:08 45K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 19:08 25K 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 19:08 433K 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 19:08 1.1M 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 19:08 222K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 19:08 92K 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 19:08 46K 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 19:08 1.3M 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 19:08 610K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 19:08 55K 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 19:08 836K 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 19:08 1.5M 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 19:08 217K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 19:08 386K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 19:08 547K 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 19:08 100K 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 19:08 8.8M 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 19:08 777K 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 19:08 1.0M 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 19:08 1.7M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 19:08 518K 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 19:08 1.1M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 19:08 16M 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 19:08 5.9M 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 19:08 50K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 19:08 1.0M 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 19:08 45K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 19:08 69K 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 19:08 1.1M 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 19:08 17K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 19:08 3.7K 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 19:08 2.4K 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 19:08 5.4M 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 19:08 31K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 19:08 6.3K 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 19:08 3.0K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 19:08 4.7K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 19:08 3.3K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-25 19:08 28K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-25 19:08 11K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 19:08 29K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 19:08 18K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.7K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 19:08 31K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 19:08 13K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]oil-0.21.0-r0.apk2024-10-25 19:08 1.4M 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 19:08 7.0K 
[   ]oils-for-unix-0.31.0-r0.apk2025-06-23 05:24 653K 
[   ]oils-for-unix-bash-0.31.0-r0.apk2025-06-23 05:24 1.5K 
[   ]oils-for-unix-binsh-0.31.0-r0.apk2025-06-23 05:24 1.5K 
[   ]oils-for-unix-doc-0.31.0-r0.apk2025-06-23 05:24 7.0K 
[   ]ol-2.6-r0.apk2025-05-11 11:57 1.0M 
[   ]ol-dev-2.6-r0.apk2025-05-11 11:57 16K 
[   ]ol-doc-2.6-r0.apk2025-05-11 11:57 2.6K 
[   ]olab-0.1.8-r0.apk2024-10-25 19:08 3.4M 
[   ]ollama-0.9.2-r0.apk2025-06-21 04:22 10M 
[   ]ollama-doc-0.9.2-r0.apk2025-06-21 04:22 248K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 19:08 156K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 19:08 25K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 19:08 1.9K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 19:08 164K 
[   ]oniux-0.5.0-r0.apk2025-05-25 15:28 5.2M 
[   ]oniux-doc-0.5.0-r0.apk2025-05-25 15:28 3.1K 
[   ]onnxruntime-1.22.0-r1.apk2025-06-12 12:11 5.0M 
[   ]onnxruntime-dev-1.22.0-r1.apk2025-06-12 12:11 115K 
[   ]opcr-policy-0.3.0-r4.apk2025-05-12 07:06 8.6M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 19:08 3.6M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 19:08 9.9M 
[   ]openconnect-sso-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]openconnect-sso-pyc-0.8.0_git20230822-r0.apk2025-05-14 09:13 29K 
[   ]opendht-3.1.11-r0.apk2025-01-27 20:56 162K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 20:56 71K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 20:56 3.0K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 20:56 512K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 21:31 38K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 21:31 6.3K 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 19:08 1.9M 
[   ]openocd-esp32-0_git20250422-r0.apk2025-05-11 11:57 1.9M 
[   ]openocd-esp32-dev-0_git20250422-r0.apk2025-05-11 11:57 3.7K 
[   ]openocd-esp32-doc-0_git20250422-r0.apk2025-05-11 11:57 3.2K 
[   ]openocd-esp32-udev-rules-0_git20250422-r0.apk2025-05-11 11:57 3.5K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 19:08 1.6M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 19:08 1.5K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 19:08 4.1M 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 19:08 3.4K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 19:08 3.3K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 19:08 3.4K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 19:08 1.5M 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 19:08 3.7K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 19:08 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 19:08 3.3K 
[   ]openra-20231010-r1.apk2025-05-14 18:17 24M 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:50 3.3M 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:50 2.2K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 19:08 60K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 19:08 18K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 19:08 102K 
[   ]openslide-3.4.1-r3.apk2024-10-25 19:08 77K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 19:08 6.9K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 19:08 4.9K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 19:08 9.9K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 19:08 148K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 19:08 9.4K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 19:08 2.0K 
[   ]opentelemetry-cpp-1.21.0-r1.apk2025-06-11 17:31 532K 
[   ]opentelemetry-cpp-dev-1.21.0-r1.apk2025-06-11 17:31 558K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.21.0-r1.apk2025-06-11 17:31 41K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.21.0-r1.apk2025-06-11 17:31 49K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.21.0-r1.apk2025-06-11 17:31 74K 
[   ]opentelemetry-cpp-exporter-zipkin-1.21.0-r1.apk2025-06-11 17:31 43K 
[   ]openvpn3-3.8.5-r1.apk2025-02-22 12:17 351K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-22 12:17 667K 
[   ]openwsman-2.8.1-r0.apk2025-02-13 07:44 44K 
[   ]openwsman-dev-2.8.1-r0.apk2025-02-13 07:44 56K 
[   ]openwsman-doc-2.8.1-r0.apk2025-02-13 07:44 2.3K 
[   ]openwsman-libs-2.8.1-r0.apk2025-02-13 07:44 290K 
[   ]opkg-0.7.0-r0.apk2024-10-25 19:08 9.8K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 19:08 99K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 19:08 7.7K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 19:08 70K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 19:08 25K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 19:08 3.6K 
[   ]opmsg-1.84-r1.apk2024-10-25 19:08 243K 
[   ]optee-client-3.20.0-r0.apk2024-10-25 19:08 18K 
[   ]optee-client-dev-3.20.0-r0.apk2024-10-25 19:08 29K 
[   ]optee-client-libs-3.20.0-r0.apk2024-10-25 19:08 30K 
[   ]orage-4.20.1-r0.apk2025-04-08 11:49 569K 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:49 1.2M 
[   ]osmctools-0.9-r0.apk2024-10-25 19:08 123K 
[   ]ostui-1.0.3-r2.apk2025-05-12 07:06 4.7M 
[   ]ostui-doc-1.0.3-r2.apk2025-05-12 07:06 28K 
[   ]otf-0.3.23-r0.apk2025-05-29 05:44 1.3K 
[   ]otf-agent-0.3.23-r0.apk2025-05-29 05:44 8.0M 
[   ]otf-agent-openrc-0.3.23-r0.apk2025-05-29 05:44 2.0K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 19:08 102K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 19:08 48K 
[   ]otf-cli-0.3.23-r0.apk2025-05-29 05:44 7.9M 
[   ]otf-server-0.3.23-r0.apk2025-05-29 05:44 12M 
[   ]otf-server-openrc-0.3.23-r0.apk2025-05-29 05:44 2.0K 
[   ]otpclient-4.0.2-r1.apk2025-06-12 12:11 106K 
[   ]otpclient-doc-4.0.2-r1.apk2025-06-12 12:11 3.6K 
[   ]otrs-6.0.48-r2.apk2024-10-25 19:08 29M 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 19:08 4.0K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 19:08 2.4K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 19:08 3.9M 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 19:08 795K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 19:08 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 19:08 1.8K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 19:08 1.9K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 19:08 107K 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:38 1.5M 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:38 2.5K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:38 3.8K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:38 3.0K 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:38 3.1K 
[   ]ovn-24.03.1-r0.apk2024-10-25 19:08 5.9M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 19:08 26M 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 19:08 9.8M 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 19:08 512K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 19:08 4.2K 
[   ]ovos-audio-0.3.1-r0.apk2024-11-21 13:31 136K 
[   ]ovos-audio-pyc-0.3.1-r0.apk2024-11-21 13:31 36K 
[   ]ovos-core-1.3.1-r0.apk2025-05-26 12:35 51K 
[   ]ovos-core-pyc-1.3.1-r0.apk2025-05-26 12:35 64K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 12:41 31K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 12:41 38K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 07:43 10K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 07:43 6.7K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 13:31 10K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 13:31 7.2K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 19:08 46K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 19:08 4.0K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 19:08 12K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 19:08 6.5K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 19:08 32M 
[   ]p0f-3.09b-r3.apk2024-10-25 19:08 82K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 19:08 25K 
[   ]p910nd-0.97-r2.apk2024-10-25 19:08 6.7K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 19:08 3.0K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 19:08 1.8K 
[   ]pacoloco-1.7-r1.apk2025-05-12 07:06 4.7M 
[   ]pacoloco-doc-1.7-r1.apk2025-05-12 07:06 2.2K 
[   ]pacoloco-openrc-1.7-r1.apk2025-05-12 07:06 1.9K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 19:08 680K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 19:08 3.6K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 19:08 18K 
[   ]paged-markdown-3-pdf-0.1.3-r0.apk2025-05-28 14:23 393K 
[   ]paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk2025-05-28 14:23 1.9K 
[   ]paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk2025-05-28 14:23 1.8K 
[   ]paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk2025-05-28 14:23 1.9K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 19:08 20K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 19:08 24K 
[   ]pam-pkcs11-0.6.13-r0.apk2025-06-10 19:48 219K 
[   ]pam-pkcs11-doc-0.6.13-r0.apk2025-06-10 19:48 14K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 19:08 7.8K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 19:08 8.0K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 19:08 2.9K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 19:08 45K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 19:08 6.4K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 19:08 83K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 19:08 1.7K 
[   ]paperde-0.2.1-r2.apk2024-10-25 19:08 602K 
[   ]paperde-dev-0.2.1-r2.apk2024-10-25 19:08 5.2K 
[   ]paperkey-1.6-r2.apk2024-10-25 19:08 15K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 19:08 4.5K 
[   ]paprefs-1.2-r2.apk2024-11-22 22:07 28K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 22:07 38K 
[   ]par-1.53.0-r1.apk2024-10-25 19:08 13K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 19:08 30K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-11 11:57 162K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-11 11:57 5.9K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 19:08 221K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 19:08 25K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 19:08 49K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:20 502K 
[   ]pash-2.3.0-r2.apk2024-10-25 19:08 4.3K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 19:08 8.4K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 19:08 7.5K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 19:08 43K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 19:08 3.3K 
[   ]pcl-1.14.1-r0.apk2025-02-22 12:17 403K 
[   ]pcl-dev-1.14.1-r0.apk2025-02-22 12:17 387K 
[   ]pcl-libs-1.14.1-r0.apk2025-02-22 12:17 1.1M 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-21 21:46 212K 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 19:08 4.4K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 19:08 22K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 19:23 59K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 19:23 41K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 19:23 3.7K 
[   ]peervpn-0.044-r5.apk2024-10-25 19:08 36K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 19:08 1.8K 
[   ]peg-0.1.18-r1.apk2024-10-25 19:08 32K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 19:08 14K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 19:08 1.2M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 19:08 16K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 19:08 1.8M 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 19:08 298K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 19:08 8.1K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 19:08 17K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 19:08 9.6K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 19:08 29K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 19:08 5.6K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 19:08 5.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 19:08 6.1K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 19:08 4.6K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 19:08 79K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 19:08 148K 
[   ]perl-algorithm-permute-0.17-r0.apk2024-10-25 19:08 11K 
[   ]perl-algorithm-permute-doc-0.17-r0.apk2024-10-25 19:08 5.0K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 19:08 5.6K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 19:08 5.6K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 16:07 22K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 16:07 53K 
[   ]perl-alien-libgumbo-0.05-r0.apk2025-06-08 16:07 538K 
[   ]perl-alien-libgumbo-doc-0.05-r0.apk2025-06-08 16:07 4.8K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 19:08 5.1K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 19:08 4.0K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 19:08 5.1K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 19:08 5.4K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 19:08 12K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 19:08 10K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 19:08 16K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 19:08 6.8K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:34 4.3K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:34 5.0K 
[   ]perl-autobox-3.0.2-r0.apk2024-10-25 19:08 18K 
[   ]perl-autobox-doc-3.0.2-r0.apk2024-10-25 19:08 8.8K 
[   ]perl-b-hooks-op-check-0.22-r0.apk2024-10-25 19:08 6.2K 
[   ]perl-b-hooks-op-check-doc-0.22-r0.apk2024-10-25 19:08 3.7K 
[   ]perl-b-utils-0.27-r0.apk2025-05-11 11:57 18K 
[   ]perl-b-utils-doc-0.27-r0.apk2025-05-11 11:57 9.4K 
[   ]perl-badger-0.16-r0.apk2025-06-15 14:50 253K 
[   ]perl-badger-doc-0.16-r0.apk2025-06-15 14:50 260K 
[   ]perl-barcode-zbar-0.10-r3.apk2024-10-25 19:08 28K 
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-10-25 19:08 13K 
[   ]perl-bareword-filehandles-0.007-r0.apk2024-10-25 19:08 5.4K 
[   ]perl-bareword-filehandles-doc-0.007-r0.apk2024-10-25 19:08 3.2K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 19:08 3.9K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 19:08 3.6K 
[   ]perl-bsd-resource-1.2911-r10.apk2024-10-25 19:08 19K 
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-10-25 19:08 7.9K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 19:08 14K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 19:08 12K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 19:08 2.9K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 19:08 3.2K 
[   ]perl-cairo-1.109-r4.apk2024-10-25 19:08 66K 
[   ]perl-cairo-doc-1.109-r4.apk2024-10-25 19:08 14K 
[   ]perl-cairo-gobject-1.005-r4.apk2024-10-25 19:08 6.0K 
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-10-25 19:08 2.9K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-13 14:57 9.0K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-13 14:57 7.8K 
[   ]perl-carp-repl-0.18-r0.apk2025-05-11 11:57 6.0K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-05-11 11:57 5.9K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-19 14:53 3.8K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-19 14:53 4.0K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-03-31 12:42 25K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-03-31 12:42 27K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-11 11:57 4.3K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-11 11:57 5.7K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 05:39 8.6K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 05:39 6.8K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:35 13K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:35 14K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-20 04:10 2.7K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-20 04:10 3.3K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 05:41 5.0K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 05:41 4.4K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-20 16:04 54K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-20 16:04 11K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 05:22 2.7K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 05:22 375K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-13 14:57 6.5K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-13 14:57 12K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:35 19K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:35 18K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-03-29 18:40 32K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-03-29 18:40 56K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-20 16:04 5.4K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-20 16:04 10K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 09:42 4.1K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 09:42 13K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 11:56 14K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 11:56 25K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 04:32 4.9K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 04:32 4.8K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:17 5.9K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:17 6.4K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:17 4.5K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:46 3.6K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:46 4.0K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:46 4.7K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:46 3.9K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-20 16:04 8.7K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-20 16:04 7.4K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-19 11:44 150K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-19 11:44 216K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 09:42 9.1K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 09:42 11K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-12 15:51 14K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-12 15:51 13K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-11 11:57 4.3K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-11 11:57 4.0K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-11 11:57 3.4K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-11 11:57 3.6K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 04:46 3.4K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 04:46 3.5K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 04:46 3.1K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 04:46 4.5K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-05-11 11:57 3.3K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-05-11 11:57 3.6K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-11 11:57 11K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-11 11:57 24K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 19:08 6.8K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 19:08 6.1K 
[   ]perl-cgi-simple-1.281-r0.apk2025-03-12 14:13 56K 
[   ]perl-cgi-simple-doc-1.281-r0.apk2025-03-12 14:13 43K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-14 16:31 7.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-14 16:31 6.8K 
[   ]perl-check-unitcheck-0.13-r1.apk2024-10-25 19:08 5.6K 
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-10-25 19:08 3.6K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 19:08 12K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 19:08 7.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 19:08 9.4K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-14 16:31 5.1K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-14 16:31 4.7K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 19:08 5.5K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 19:08 5.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 19:08 9.3K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 19:08 3.9K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 19:08 4.1K 
[   ]perl-class-unload-0.11-r0.apk2025-06-04 19:41 2.6K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-04 19:41 3.1K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:54 13K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:54 12K 
[   ]perl-clipboard-0.32-r0.apk2025-05-18 15:45 10K 
[   ]perl-clipboard-doc-0.32-r0.apk2025-05-18 15:45 27K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 19:08 7.3K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 19:08 5.2K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-19 11:44 9.5K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-19 11:44 7.4K 
[   ]perl-conf-libconfig-1.0.3-r1.apk2025-06-13 14:11 21K 
[   ]perl-conf-libconfig-doc-1.0.3-r1.apk2025-06-13 14:11 5.5K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 19:08 7.4K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 19:08 6.9K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 19:08 8.7K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 19:08 7.0K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 19:08 3.8K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 19:08 4.2K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 19:08 14K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 19:08 18K 
[   ]perl-crypt-blowfish-2.14-r0.apk2025-05-11 11:57 12K 
[   ]perl-crypt-blowfish-doc-2.14-r0.apk2025-05-11 11:57 4.0K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 19:08 11K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 19:08 8.8K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 19:08 6.9K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 19:08 6.3K 
[   ]perl-css-inliner-4027-r0.apk2025-06-20 04:10 16K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-20 04:10 9.4K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 19:08 23K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 19:08 33K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 19:08 12K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 19:08 8.2K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 19:08 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 19:08 15K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 19:08 9.7K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 19:08 8.4K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:54 163K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:54 301K 
[   ]perl-data-checks-0.10-r0.apk2024-10-25 19:08 20K 
[   ]perl-data-checks-doc-0.10-r0.apk2024-10-25 19:08 8.2K 
[   ]perl-data-clone-0.006-r0.apk2025-05-11 11:57 8.9K 
[   ]perl-data-clone-doc-0.006-r0.apk2025-05-11 11:57 4.5K 
[   ]perl-data-dump-streamer-2.42-r0.apk2025-05-11 11:57 49K 
[   ]perl-data-dump-streamer-doc-2.42-r0.apk2025-05-11 11:57 17K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 19:08 5.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 19:08 5.5K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 19:08 8.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 19:08 5.8K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-19 14:53 9.8K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-19 14:53 8.3K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 19:08 23K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 19:08 29K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 19:08 14K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 19:08 9.3K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:08 3.2K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:08 3.8K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:26 18K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:26 12K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:08 4.4K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:08 4.1K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 19:08 2.5K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 19:08 7.6K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 19:08 12K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 19:08 15K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:26 355K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-09 16:27 3.2K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-09 16:27 3.0K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:26 421K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-09 16:27 97K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-09 16:27 77K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:37 15K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:37 22K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 19:08 4.3K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 19:08 7.5K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 19:08 8.0K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 19:08 8.4K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 19:08 11K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 19:08 6.6K 
[   ]perl-devel-findperl-0.016-r0.apk2025-06-08 16:07 4.7K 
[   ]perl-devel-findperl-doc-0.016-r0.apk2025-06-08 16:07 3.8K 
[   ]perl-devel-leak-0.03-r13.apk2024-10-25 19:08 6.2K 
[   ]perl-devel-leak-doc-0.03-r13.apk2024-10-25 19:08 3.3K 
[   ]perl-devel-nytprof-6.14-r0.apk2025-06-15 04:46 379K 
[   ]perl-devel-nytprof-doc-6.14-r0.apk2025-06-15 04:46 50K 
[   ]perl-devel-refcount-0.10-r1.apk2024-10-25 19:08 5.9K 
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-10-25 19:08 4.2K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 14:50 28K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 14:50 60K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-05-11 11:57 3.7K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-05-11 11:57 3.4K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 19:08 5.6K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 19:08 5.2K 
[   ]perl-digest-crc-0.24-r1.apk2024-10-25 19:08 9.4K 
[   ]perl-digest-crc-doc-0.24-r1.apk2024-10-25 19:08 3.2K 
[   ]perl-dns-unbound-0.29-r1.apk2024-10-25 19:08 22K 
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-10-25 19:08 2.3K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-10-25 19:08 1.9K 
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-10-25 19:08 15K 
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-10-25 19:08 2.4K 
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-10-25 19:08 2.7K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 19:08 7.6K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 19:08 13K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 19:08 4.0K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 19:08 3.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 19:08 6.1K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 19:08 4.8K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 09:42 25K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 09:42 42K 
[   ]perl-encode-detect-1.01-r0.apk2025-06-07 09:12 68K 
[   ]perl-encode-detect-doc-1.01-r0.apk2025-06-07 09:12 4.8K 
[   ]perl-ev-hiredis-0.07-r2.apk2025-05-22 06:51 12K 
[   ]perl-ev-hiredis-doc-0.07-r2.apk2025-05-22 06:51 4.2K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:01 32K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:01 20K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 04:38 5.5K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 04:38 4.9K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 19:08 175K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 19:08 43K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 19:08 21K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 19:08 3.8K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 19:08 3.7K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 19:08 20K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 19:08 29K 
[   ]perl-ffi-platypus-2.10-r0.apk2024-12-19 05:14 175K 
[   ]perl-ffi-platypus-doc-2.10-r0.apk2024-12-19 05:14 146K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 19:08 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 19:08 5.1K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-20 16:04 12K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-20 16:04 14K 
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-10-25 19:08 27K 
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-10-25 19:08 4.2K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 19:08 7.5K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 19:08 12K 
[   ]perl-flowd-0.9.1-r10.apk2024-10-25 19:08 21K 
[   ]perl-flowd-doc-0.9.1-r10.apk2024-10-25 19:08 3.2K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 19:08 9.8K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 19:08 5.6K 
[   ]perl-full-1.004-r0.apk2024-10-25 19:08 7.1K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 19:08 10K 
[   ]perl-future-asyncawait-hooks-0.02-r0.apk2024-10-25 19:08 7.4K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r0.apk2024-10-25 19:08 3.2K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 19:08 9.2K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 19:08 16K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 19:08 9.6K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 19:08 9.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 19:08 4.1K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 19:08 4.3K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 19:08 27K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 19:08 20K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 09:39 15K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 09:39 11K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 19:08 23K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 19:08 17K 
[   ]perl-git-raw-0.90-r3.apk2025-03-13 23:14 154K 
[   ]perl-git-raw-doc-0.90-r3.apk2025-03-13 23:14 117K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 19:08 16K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 19:08 31K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 19:08 5.4K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 19:08 4.8K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 19:08 15K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 19:08 22K 
[   ]perl-glib-object-introspection-0.051-r1.apk2024-10-25 19:08 54K 
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-10-25 19:08 11K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 19:08 7.1K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 19:08 7.8K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 19:08 14K 
[   ]perl-gtk2-1.24993-r6.apk2024-10-25 19:08 787K 
[   ]perl-gtk2-doc-1.24993-r6.apk2024-10-25 19:08 670K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 19:08 13K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 19:08 7.3K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 19:08 66K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 19:08 81K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 19:08 20K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 19:08 9.1K 
[   ]perl-guard-1.023-r9.apk2024-10-25 19:08 8.0K 
[   ]perl-guard-doc-1.023-r9.apk2024-10-25 19:08 5.3K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:18 3.1K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:18 3.4K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 19:08 9.8K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 19:08 19K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-11 11:57 135K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-11 11:57 323K 
[   ]perl-html-gumbo-0.18-r1.apk2025-06-08 16:07 13K 
[   ]perl-html-gumbo-doc-0.18-r1.apk2025-06-08 16:07 5.4K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 19:08 348K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 19:08 472K 
[   ]perl-html-query-0.09-r0.apk2025-06-20 04:10 14K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-20 04:10 10K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 19:08 6.2K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 19:08 4.0K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 19:08 18K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 19:08 9.9K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:17 18K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:17 40K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 19:08 3.1K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 19:08 3.4K 
[   ]perl-http-xsheaders-0.400005-r1.apk2024-10-25 19:08 17K 
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-10-25 19:08 6.3K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 19:08 4.2K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 19:08 4.1K 
[   ]perl-imager-1.028-r0.apk2025-06-21 08:17 477K 
[   ]perl-imager-doc-1.028-r0.apk2025-06-21 08:17 285K 
[   ]perl-indirect-0.39-r1.apk2024-10-25 19:08 14K 
[   ]perl-indirect-doc-0.39-r1.apk2024-10-25 19:08 6.4K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:34 11K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:34 9.8K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 19:08 75K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 19:08 68K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 19:08 5.7K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 19:08 3.1K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 19:08 3.5K 
[   ]perl-json-validator-5.15-r0.apk2025-03-19 11:44 58K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-19 11:44 34K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-05-11 11:57 7.5K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-05-11 11:57 7.6K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 19:08 3.9K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 19:08 4.0K 
[   ]perl-libapreq2-2.17-r2.apk2024-10-25 19:08 88K 
[   ]perl-libapreq2-dev-2.17-r2.apk2024-10-25 19:08 52K 
[   ]perl-libapreq2-doc-2.17-r2.apk2024-10-25 19:08 37K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:35 305K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:35 571K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 16:07 3.3K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 16:07 3.5K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 16:07 3.2K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 16:07 3.6K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:06 5.3K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:06 3.9K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 16:07 3.1K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 16:07 3.4K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 16:07 546K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 16:07 4.5K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:55 4.6K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:55 3.5K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.4K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.3K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 16:07 12K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 16:07 34K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:57 6.1K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:57 3.9K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:57 5.2K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:57 3.5K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:57 4.1K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:57 3.7K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 16:07 4.3K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 16:07 3.0K 
[   ]perl-linux-pid-0.04-r14.apk2025-05-27 14:36 4.5K 
[   ]perl-linux-pid-doc-0.04-r14.apk2025-05-27 14:36 3.0K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 19:08 9.9K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 19:08 12K 
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-10-25 19:08 11K 
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-10-25 19:08 8.1K 
[   ]perl-list-keywords-0.11-r0.apk2024-10-25 19:08 13K 
[   ]perl-list-keywords-doc-0.11-r0.apk2024-10-25 19:08 5.5K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 19:08 10K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 19:08 7.2K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 19:08 11K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 19:08 12K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 19:08 4.2K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 19:08 3.9K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 19:08 6.1K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 19:08 5.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 19:08 6.4K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 19:08 5.6K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 19:08 22K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 19:08 33K 
[   ]perl-math-int64-0.57-r1.apk2024-10-25 19:08 27K 
[   ]perl-math-int64-doc-0.57-r1.apk2024-10-25 19:08 10K 
[   ]perl-math-libm-1.00-r14.apk2024-10-25 19:08 9.0K 
[   ]perl-math-libm-doc-1.00-r14.apk2024-10-25 19:08 3.1K 
[   ]perl-math-random-0.72-r0.apk2024-10-25 19:08 33K 
[   ]perl-math-random-doc-0.72-r0.apk2024-10-25 19:08 11K 
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-10-25 19:08 7.2K 
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-10-25 19:08 3.8K 
[   ]perl-mce-1.901-r0.apk2025-01-03 04:21 134K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 04:21 170K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 16:07 6.2K 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 16:07 3.8K 
[   ]perl-minion-10.31-r0.apk2024-10-25 19:08 1.5M 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 19:08 9.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 19:08 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 19:08 6.6K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 19:08 10K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 19:08 6.8K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 19:08 49K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 19:08 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 19:08 3.8K 
[   ]perl-module-generic-0.43.3-r0.apk2025-04-23 04:15 272K 
[   ]perl-module-generic-doc-0.43.3-r0.apk2025-04-23 04:15 214K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 16:07 4.7K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 16:07 5.8K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 19:08 4.7K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 19:08 4.4K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 19:08 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 19:08 25K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 19:08 16K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 19:08 19K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-19 11:44 28K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-19 11:44 33K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-16 04:03 4.1K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-16 04:03 4.2K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-16 04:03 5.4K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-16 04:03 5.3K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-16 04:03 14K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-16 04:03 25K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-04 19:41 5.1K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-04 19:41 5.2K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-19 11:44 8.6K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-19 11:44 22K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-20 04:10 8.2K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-20 04:10 9.4K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-22 21:24 6.0K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-22 21:24 5.5K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-11 11:57 2.8K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-11 11:57 3.3K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-15 14:08 7.7K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-15 14:08 24K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-16 04:03 3.8K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-16 04:03 4.2K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-11 11:57 4.9K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-11 11:57 4.2K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-05-11 11:57 3.2K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-05-11 11:57 3.7K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-15 14:08 4.0K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-15 14:08 4.1K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-15 14:08 3.0K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-15 14:08 3.6K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:05 3.5K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:05 3.2K 
[   ]perl-multidimensional-0.014-r0.apk2024-10-25 19:08 4.6K 
[   ]perl-multidimensional-doc-0.014-r0.apk2024-10-25 19:08 3.1K 
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-10-25 19:08 8.8K 
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-10-25 19:08 4.3K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 19:08 3.4K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 19:08 3.5K 
[   ]perl-net-amqp-rabbitmq-2.40012-r0.apk2024-10-25 19:08 69K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r0.apk2024-10-25 19:08 9.7K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-10-25 19:08 8.4K 
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-10-25 19:08 5.3K 
[   ]perl-net-curl-0.57-r0.apk2025-01-25 07:04 55K 
[   ]perl-net-curl-doc-0.57-r0.apk2025-01-25 07:04 39K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 19:08 8.8K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 19:08 2.7K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 19:08 12K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 19:08 3.0K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 19:08 3.1K 
[   ]perl-net-idn-encode-2.500-r1.apk2024-10-25 19:08 84K 
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-10-25 19:08 22K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 19:08 5.5K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 19:08 5.2K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 19:08 51K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 19:08 13K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 19:08 7.6K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 19:08 48K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 04:10 14K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 04:10 8.6K 
[   ]perl-net-patricia-1.22-r12.apk2024-10-25 19:08 18K 
[   ]perl-net-patricia-doc-1.22-r12.apk2024-10-25 19:08 6.0K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 19:08 58K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 19:08 44K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 19:08 11K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 19:08 8.0K 
[   ]perl-nice-try-1.3.16-r0.apk2025-05-11 11:57 28K 
[   ]perl-nice-try-doc-1.3.16-r0.apk2025-05-11 11:57 12K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 19:08 15K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 19:08 9.0K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 19:08 5.2K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 19:08 4.4K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 19:08 15K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 19:08 26K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 19:08 5.7K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 19:08 7.0K 
[   ]perl-object-pad-fieldattr-checked-0.12-r0.apk2024-10-25 19:08 7.8K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r0.apk2024-10-25 19:08 4.5K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 11:56 3.7K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 11:56 5.4K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 19:08 8.6K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 19:08 7.3K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 19:08 18K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 19:08 33K 
[   ]perl-pango-1.227-r11.apk2024-10-25 19:08 72K 
[   ]perl-pango-doc-1.227-r11.apk2024-10-25 19:08 81K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:05 14K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:05 38K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 19:08 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 19:08 5.1K 
[   ]perl-perlio-locale-0.10-r12.apk2024-10-25 19:08 4.3K 
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-10-25 19:08 3.0K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 19:08 3.9K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 19:08 3.3K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-19 11:44 3.6K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-19 11:44 4.0K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 19:25 2.5K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 19:25 3.1K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 19:08 3.1K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 19:08 3.0K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-19 11:44 2.8K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-19 11:44 3.1K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 19:08 4.6K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 19:08 4.9K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 19:08 10K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 19:08 11K 
[   ]perl-ppi-xs-0.910-r1.apk2024-10-25 19:08 5.6K 
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-10-25 19:08 3.4K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 19:08 3.7K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 19:08 3.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 19:08 11K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 19:08 2.5K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 19:08 12K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 19:08 2.3K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 19:08 2.9K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 19:08 2.6K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 19:08 26K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 19:08 12K 
[   ]perl-promise-xs-0.20-r1.apk2024-10-25 19:08 21K 
[   ]perl-promise-xs-doc-0.20-r1.apk2024-10-25 19:08 8.7K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 19:08 19K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 19:08 36K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 19:08 5.6K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 19:08 5.0K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 19:08 3.4K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 19:08 3.3K 
[   ]perl-ref-util-xs-0.117-r8.apk2024-10-25 19:08 8.4K 
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-10-25 19:08 3.4K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 19:08 3.7K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 19:08 3.9K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 19:08 26K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 19:08 2.7K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 19:08 8.9K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 19:08 23K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 19:08 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 19:08 9.0K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 19:08 2.8K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 19:08 9.1K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 19:08 26K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 19:08 7.5K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 19:08 12K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 19:08 35K 
[   ]perl-scalar-readonly-0.03-r1.apk2024-10-25 19:08 5.1K 
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-10-25 19:08 3.4K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 16:07 7.8K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 16:07 6.8K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 6.0K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.8K 
[   ]perl-signature-attribute-checked-0.06-r0.apk2024-10-25 19:08 7.4K 
[   ]perl-signature-attribute-checked-doc-0.06-r0.apk2024-10-25 19:08 4.6K 
[   ]perl-snmp-5.0404-r13.apk2024-10-25 19:08 64K 
[   ]perl-snmp-doc-5.0404-r13.apk2024-10-25 19:08 14K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 05:59 333K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 05:59 379K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 16:07 5.3K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 16:07 3.9K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 16:07 5.2K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 16:07 3.9K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 19:08 110K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 19:08 90K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 19:08 8.6K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 19:08 5.4K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 19:08 3.7K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 19:08 4.1K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 19:08 29K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 19:08 20K 
[   ]perl-sql-abstract-more-1.43-r0.apk2024-12-30 06:37 27K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2024-12-30 06:37 17K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 19:08 13K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 19:08 10K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 19:08 9.2K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 19:08 50K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 19:08 30K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 19:08 37K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 19:08 6.7K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 19:08 6.9K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 19:08 3.2K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 19:08 3.4K 
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-10-25 19:08 7.0K 
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-10-25 19:08 5.2K 
[   ]perl-string-crc32-2.100-r4.apk2024-10-25 19:08 6.6K 
[   ]perl-string-crc32-doc-2.100-r4.apk2024-10-25 19:08 3.4K 
[   ]perl-string-escape-2010.002-r0.apk2025-03-29 18:40 8.9K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-03-29 18:40 7.8K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 19:08 7.9K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 19:08 6.2K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:06 5.4K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:06 5.6K 
[   ]perl-syntax-keyword-match-0.15-r0.apk2024-10-25 19:08 13K 
[   ]perl-syntax-keyword-match-doc-0.15-r0.apk2024-10-25 19:08 7.8K 
[   ]perl-syntax-operator-equ-0.10-r0.apk2024-10-25 19:08 7.7K 
[   ]perl-syntax-operator-equ-doc-0.10-r0.apk2024-10-25 19:08 6.5K 
[   ]perl-syntax-operator-in-0.10-r0.apk2024-10-25 19:08 9.0K 
[   ]perl-syntax-operator-in-doc-0.10-r0.apk2024-10-25 19:08 5.8K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 19:08 5.3K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 19:08 3.7K 
[   ]perl-sys-virt-11.1.0-r0.apk2025-03-21 11:43 186K 
[   ]perl-sys-virt-doc-11.1.0-r0.apk2025-03-21 11:43 99K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 19:08 12K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 19:08 10K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 04:46 2.9K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 04:46 3.7K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 19:08 2.7K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 19:08 3.0K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 19:08 4.9K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 19:08 4.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-12 15:51 3.4K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-12 15:51 3.7K 
[   ]perl-template-tiny-1.15-r0.apk2025-06-20 04:10 5.5K 
[   ]perl-template-tiny-doc-1.15-r0.apk2025-06-20 04:10 5.0K 
[   ]perl-term-size-0.211-r4.apk2024-10-25 19:08 5.4K 
[   ]perl-term-size-doc-0.211-r4.apk2024-10-25 19:08 3.7K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 19:08 10K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 19:08 8.5K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 19:08 5.1K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 19:08 4.2K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 19:08 5.9K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 19:08 5.4K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 19:08 3.6K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 19:08 4.2K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 19:08 7.7K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 19:08 6.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 19:08 7.1K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 19:08 20K 
[   ]perl-test-expect-0.34-r0.apk2025-04-22 21:24 3.5K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-22 21:24 3.6K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 17:55 11K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 17:55 6.8K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 19:08 6.7K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 19:08 15K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 19:08 9.8K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 19:08 8.3K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 19:08 6.4K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 19:08 5.3K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 19:08 15K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 19:08 9.8K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 19:08 3.5K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 19:08 3.7K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 19:08 4.8K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 19:08 4.4K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 19:08 12K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 19:08 15K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 19:08 4.9K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 19:08 6.0K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 19:08 8.9K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 19:08 8.4K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 19:08 9.8K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 19:08 6.2K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 19:08 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 19:08 20K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 19:08 37K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 19:08 48K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 19:08 3.8K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 19:08 3.8K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-20 02:43 5.6K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-20 02:43 4.9K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-13 14:57 15K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 04:32 7.4K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 04:32 6.2K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-13 14:57 10K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 19:08 3.8K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 19:08 4.4K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 19:08 4.5K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 19:08 4.1K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 3.0K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-19 11:44 4.5K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-19 11:44 3.5K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 19:08 8.1K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 19:08 6.6K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 19:08 5.3K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 19:08 5.2K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-09 16:26 10K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-09 16:26 14K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 19:08 6.2K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 19:08 8.0K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 19:08 3.9K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 19:08 3.4K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 19:08 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 19:08 3.8K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 19:08 4.7K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 19:08 4.1K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 19:08 7.2K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 19:08 6.9K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 19:08 8.0K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 19:08 6.5K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-19 11:44 2.6K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-19 11:44 3.2K 
[   ]perl-time-moment-0.44-r0.apk2024-10-25 19:08 37K 
[   ]perl-time-moment-doc-0.44-r0.apk2024-10-25 19:08 31K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 19:08 2.7K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 19:08 3.4K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 19:08 3.6K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 19:08 4.0K 
[   ]perl-time-timegm-0.01-r9.apk2024-10-25 19:08 6.4K 
[   ]perl-time-timegm-doc-0.01-r9.apk2024-10-25 19:08 3.8K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-19 11:44 20K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-19 11:44 46K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 19:08 3.9K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 19:08 4.1K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:26 11K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:26 8.3K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 19:08 7.0K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 19:08 7.5K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 07:58 14K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 07:58 9.1K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 19:08 4.0K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 19:08 3.9K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 19:08 3.2K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 19:08 4.5K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 19:08 2.7K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 19:08 4.9K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-19 11:44 2.3K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-19 11:44 4.3K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 19:08 5.1K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 19:08 4.7K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 19:08 3.3K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 19:08 5.6K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:17 20K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:17 29K 
[   ]perl-www-noss-1.02-r0.apk2025-06-25 12:08 39K 
[   ]perl-www-noss-doc-1.02-r0.apk2025-06-25 12:08 39K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 19:08 6.9K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 19:08 7.6K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 19:08 20K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 19:08 16K 
[   ]perl-xml-bare-0.53-r13.apk2024-10-25 19:08 26K 
[   ]perl-xml-bare-doc-0.53-r13.apk2024-10-25 19:08 11K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 19:08 14K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 19:08 12K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 19:08 5.0K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 19:08 5.4K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 19:08 5.7K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 19:08 4.9K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 19:08 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 19:08 18K 
[   ]persistent-cache-cpp-1.0.7-r4.apk2025-02-22 12:17 43K 
[   ]persistent-cache-cpp-dev-1.0.7-r4.apk2025-02-22 12:17 18K 
[   ]persistent-cache-cpp-doc-1.0.7-r4.apk2025-02-22 12:17 3.1K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 19:08 1.0M 
[   ]pfetch-1.9.0-r0.apk2025-04-11 18:19 23K 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-11 18:19 5.7K 
[   ]pgcat-1.2.0-r1.apk2025-01-01 17:37 2.3M 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-01 17:37 1.9K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 19:08 3.9M 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 19:08 1.7K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 19:08 287K 
[   ]php81-8.1.32-r1.apk2025-03-29 18:40 1.7M 
[   ]php81-apache2-8.1.32-r1.apk2025-03-29 18:40 1.7M 
[   ]php81-bcmath-8.1.32-r1.apk2025-03-29 18:40 15K 
[   ]php81-bz2-8.1.32-r1.apk2025-03-29 18:40 9.1K 
[   ]php81-calendar-8.1.32-r1.apk2025-03-29 18:40 13K 
[   ]php81-cgi-8.1.32-r1.apk2025-03-29 18:40 1.7M 
[   ]php81-common-8.1.32-r1.apk2025-03-29 18:40 25K 
[   ]php81-ctype-8.1.32-r1.apk2025-03-29 18:40 4.6K 
[   ]php81-curl-8.1.32-r1.apk2025-03-29 18:40 35K 
[   ]php81-dba-8.1.32-r1.apk2025-03-29 18:40 20K 
[   ]php81-dev-8.1.32-r1.apk2025-03-29 18:40 939K 
[   ]php81-doc-8.1.32-r1.apk2025-03-29 18:40 68K 
[   ]php81-dom-8.1.32-r1.apk2025-03-29 18:40 57K 
[   ]php81-embed-8.1.32-r1.apk2025-03-29 18:40 1.7M 
[   ]php81-enchant-8.1.32-r1.apk2025-03-29 18:40 8.0K 
[   ]php81-exif-8.1.32-r1.apk2025-03-29 18:40 30K 
[   ]php81-ffi-8.1.32-r1.apk2025-03-29 18:40 65K 
[   ]php81-fileinfo-8.1.32-r1.apk2025-03-29 18:40 376K 
[   ]php81-fpm-8.1.32-r1.apk2025-03-29 18:40 1.7M 
[   ]php81-ftp-8.1.32-r1.apk2025-03-29 18:40 22K 
[   ]php81-gd-8.1.32-r1.apk2025-03-29 18:40 116K 
[   ]php81-gettext-8.1.32-r1.apk2025-03-29 18:40 5.5K 
[   ]php81-gmp-8.1.32-r1.apk2025-03-29 18:40 19K 
[   ]php81-iconv-8.1.32-r1.apk2025-03-29 18:40 16K 
[   ]php81-imap-8.1.32-r1.apk2025-03-29 18:40 32K 
[   ]php81-intl-8.1.32-r1.apk2025-03-29 18:40 133K 
[   ]php81-ldap-8.1.32-r1.apk2025-03-29 18:40 30K 
[   ]php81-litespeed-8.1.32-r1.apk2025-03-29 18:40 1.7M 
[   ]php81-mbstring-8.1.32-r1.apk2025-03-29 18:40 562K 
[   ]php81-mysqli-8.1.32-r1.apk2025-03-29 18:40 40K 
[   ]php81-mysqlnd-8.1.32-r1.apk2025-03-29 18:40 74K 
[   ]php81-odbc-8.1.32-r1.apk2025-03-29 18:40 22K 
[   ]php81-opcache-8.1.32-r1.apk2025-03-29 18:40 66K 
[   ]php81-openssl-8.1.32-r1.apk2025-03-29 18:40 69K 
[   ]php81-pcntl-8.1.32-r1.apk2025-03-29 18:40 13K 
[   ]php81-pdo-8.1.32-r1.apk2025-03-29 18:40 39K 
[   ]php81-pdo_dblib-8.1.32-r1.apk2025-03-29 18:40 11K 
[   ]php81-pdo_mysql-8.1.32-r1.apk2025-03-29 18:40 12K 
[   ]php81-pdo_odbc-8.1.32-r1.apk2025-03-29 18:40 12K 
[   ]php81-pdo_pgsql-8.1.32-r1.apk2025-03-29 18:40 18K 
[   ]php81-pdo_sqlite-8.1.32-r1.apk2025-03-29 18:40 12K 
[   ]php81-pear-8.1.32-r1.apk2025-03-29 18:40 338K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 19:08 55K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 19:08 52K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 19:08 20K 
[   ]php81-pecl-brotli-0.18.0-r0.apk2025-06-20 04:10 13K 
[   ]php81-pecl-couchbase-4.3.0-r0.apk2025-06-15 04:00 4.2M 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 9.2K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 19:08 17K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-11 11:57 49K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 19:08 46K 
[   ]php81-pecl-grpc-1.72.0-r0.apk2025-05-11 11:57 4.0M 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 19:08 28K 
[   ]php81-pecl-imagick-3.8.0-r0.apk2025-04-11 18:19 101K 
[   ]php81-pecl-imagick-dev-3.8.0-r0.apk2025-04-11 18:19 2.3K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:08 36K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:08 9.1K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 19:08 27K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 19:08 6.4K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 19:08 20K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-11 11:57 7.8K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 19:08 14K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 19:08 39K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 19:08 43K 
[   ]php81-pecl-mongodb-2.1.1-r0.apk2025-06-15 04:00 773K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 19:08 25K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 19:08 33K 
[   ]php81-pecl-opentelemetry-1.1.3-r0.apk2025-05-15 20:56 11K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:17 8.6K 
[   ]php81-pecl-protobuf-4.30.0-r0.apk2025-03-05 18:38 127K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 19:08 17K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:51 34K 
[   ]php81-pecl-redis-6.2.0-r0.apk2025-03-27 10:58 179K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:01 19K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 19:08 26K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:06 191K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 19:08 6.6K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 19:08 9.9K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-12 23:18 6.2K 
[   ]php81-pecl-xdebug-3.4.4-r0.apk2025-06-15 04:00 133K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 19:08 11K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 19:08 801K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:44 201K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 19:08 31K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 19:08 17K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:25 63K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 14:44 12K 
[   ]php81-pgsql-8.1.32-r1.apk2025-03-29 18:40 42K 
[   ]php81-phar-8.1.32-r1.apk2025-03-29 18:40 117K 
[   ]php81-phpdbg-8.1.32-r1.apk2025-03-29 18:40 1.7M 
[   ]php81-posix-8.1.32-r1.apk2025-03-29 18:40 10K 
[   ]php81-pspell-8.1.32-r1.apk2025-03-29 18:40 7.7K 
[   ]php81-session-8.1.32-r1.apk2025-03-29 18:40 35K 
[   ]php81-shmop-8.1.32-r1.apk2025-03-29 18:40 5.8K 
[   ]php81-simplexml-8.1.32-r1.apk2025-03-29 18:40 21K 
[   ]php81-snmp-8.1.32-r1.apk2025-03-29 18:40 19K 
[   ]php81-soap-8.1.32-r1.apk2025-03-29 18:40 128K 
[   ]php81-sockets-8.1.32-r1.apk2025-03-29 18:40 34K 
[   ]php81-sodium-8.1.32-r1.apk2025-03-29 18:40 26K 
[   ]php81-sqlite3-8.1.32-r1.apk2025-03-29 18:40 19K 
[   ]php81-sysvmsg-8.1.32-r1.apk2025-03-29 18:40 7.1K 
[   ]php81-sysvsem-8.1.32-r1.apk2025-03-29 18:40 5.4K 
[   ]php81-sysvshm-8.1.32-r1.apk2025-03-29 18:40 6.3K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 19:08 12K 
[   ]php81-tidy-8.1.32-r1.apk2025-03-29 18:40 18K 
[   ]php81-tokenizer-8.1.32-r1.apk2025-03-29 18:40 11K 
[   ]php81-xml-8.1.32-r1.apk2025-03-29 18:40 18K 
[   ]php81-xmlreader-8.1.32-r1.apk2025-03-29 18:40 12K 
[   ]php81-xmlwriter-8.1.32-r1.apk2025-03-29 18:40 11K 
[   ]php81-xsl-8.1.32-r1.apk2025-03-29 18:40 12K 
[   ]php81-zip-8.1.32-r1.apk2025-03-29 18:40 24K 
[   ]php82-pdlib-1.1.0-r1.apk2024-10-25 19:08 471K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 19:08 4.3K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 19K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 19:08 36K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:08 9.1K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 19:08 33K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 19:08 27K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 19:08 101K 
[   ]php82-pecl-vld-0.18.0-r0.apk2024-10-25 19:08 14K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:25 63K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-11 18:19 4.8K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 19:08 4.3K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 19:08 26K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 19:08 36K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 15:41 19K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 19:08 9.1K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 19:08 33K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-12 21:20 37K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 19:08 48K 
[   ]php83-pecl-vld-0.18.0-r1.apk2024-10-25 19:08 14K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 19:08 29K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 9.3K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 19:08 36K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 19:08 33K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-12 21:20 37K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-04-23 04:15 83K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 48K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-11 18:19 4.8K 
[   ]phpactor-2025.04.17.0-r0.apk2025-05-30 15:00 3.5M 
[   ]pick-4.0.0-r0.apk2024-10-25 19:08 9.2K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 19:08 3.3K 
[   ]pict-rs-0.5.19-r0.apk2025-05-19 09:16 5.9M 
[   ]pict-rs-openrc-0.5.19-r0.apk2025-05-19 09:16 1.9K 
[   ]pidif-0.1-r1.apk2024-10-25 19:08 141K 
[   ]piglit-0_git20241106-r1.apk2025-05-12 21:20 88M 
[   ]pigpio-79-r4.apk2024-10-25 19:08 200K 
[   ]pigpio-dev-79-r4.apk2024-10-25 19:08 91K 
[   ]pigpio-doc-79-r4.apk2024-10-25 19:08 115K 
[   ]pigpio-openrc-79-r4.apk2024-10-25 19:08 1.6K 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:05 5.4M 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:05 2.2K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:05 3.8K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:05 1.8K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 19:08 76K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 19:08 46K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 19:08 20K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 19:08 1.9K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 19:08 35K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 19:08 1.6K 
[   ]pinentry-bemenu-0.14.0-r0.apk2025-02-22 12:17 7.8K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 19:08 5.4K 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 19:08 3.0K 
[   ]piper-phonemize-2023.11.14.4-r8.apk2025-05-18 15:55 9.0M 
[   ]piper-phonemize-dev-2023.11.14.4-r8.apk2025-05-18 15:55 394K 
[   ]piper-phonemize-libs-2023.11.14.4-r8.apk2025-05-18 15:55 68K 
[   ]piper-tts-2023.11.14.2-r13.apk2025-05-27 21:41 121K 
[   ]piper-tts-dev-2023.11.14.2-r13.apk2025-05-27 21:41 141K 
[   ]piping-server-0.18.0-r0.apk2024-10-25 19:08 1.2M 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 19:08 1.8K 
[   ]pithos-1.6.1-r0.apk2024-10-25 19:08 104K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 19:08 2.1K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 19:08 154K 
[   ]pitivi-2023.03-r2.apk2024-12-23 01:13 2.7M 
[   ]pitivi-lang-2023.03-r2.apk2024-12-23 01:13 678K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-23 01:13 700K 
[   ]pixi-0.24.2-r0.apk2024-10-25 19:08 7.8M 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-25 19:08 7.2K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-25 19:08 6.9K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-25 19:08 10K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-25 19:08 10K 
[   ]pixiewps-1.4.2-r2.apk2025-05-12 21:20 47K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-12 21:20 3.4K 
[   ]planarity-4.0.0.0-r0.apk2025-03-16 10:06 21K 
[   ]planarity-dev-4.0.0.0-r0.apk2025-03-16 10:06 23K 
[   ]planarity-doc-4.0.0.0-r0.apk2025-03-16 10:06 13K 
[   ]planarity-libs-4.0.0.0-r0.apk2025-03-16 10:06 57K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:36 313K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:36 2.2K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:36 825K 
[   ]platformio-core-6.1.7-r3.apk2024-10-25 19:08 263K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-25 19:08 552K 
[   ]please-0.5.5-r0.apk2024-10-25 19:08 894K 
[   ]please-doc-0.5.5-r0.apk2024-10-25 19:08 16K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:47 35K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:47 6.5K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:47 26K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:47 29K 
[   ]plib-1.8.5-r3.apk2024-10-25 19:08 836K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:41 516K 
[   ]plplot-5.15.0-r2.apk2024-10-25 19:08 31K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 19:08 59K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 19:08 311K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 19:08 176K 
[   ]pmccabe-2.8-r1.apk2024-10-25 19:08 23K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 19:08 7.1K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 19:08 138K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 19:08 2.3K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 19:08 25K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 19:08 8.2K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 19:08 3.0K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 19:08 50K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 19:08 2.4K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 19:08 22K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 19:08 105K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 19:08 60K 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 19:08 48K 
[   ]pomo-0.8.1-r23.apk2025-05-12 07:06 1.6M 
[   ]pomo-doc-0.8.1-r23.apk2025-05-12 07:06 2.7K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 19:08 2.4K 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 07:55 13M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 07:55 1.3M 
[   ]popeye-0.22.1-r4.apk2025-05-12 07:06 27M 
[   ]porla-0.41.0-r2.apk2025-02-24 14:53 3.1M 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 14:53 2.2K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 14:53 2.7K 
[   ]portsmf-239-r1.apk2024-10-25 19:08 47K 
[   ]portsmf-dev-239-r1.apk2024-10-25 19:08 20K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 19:08 561K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 19:08 20K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 19:08 54K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 19:08 236K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 19:08 68K 
[   ]pounce-3.1-r3.apk2024-10-25 19:08 26K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 19:08 8.5K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 19:08 2.8K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 19:08 756K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 19:08 19K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 19:08 2.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 19:08 4.2K 
[   ]pptpclient-1.10.0-r5.apk2024-10-25 19:08 31K 
[   ]pptpclient-doc-1.10.0-r5.apk2024-10-25 19:08 7.2K 
[   ]pqiv-2.12-r1.apk2024-10-25 19:08 59K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 19:08 12K 
[   ]predict-2.3.1-r0.apk2024-11-24 05:46 85K 
[   ]predict-doc-2.3.1-r0.apk2024-11-24 05:46 16K 
[   ]primecount-7.17-r0.apk2025-05-16 14:32 26K 
[   ]primecount-dev-7.17-r0.apk2025-05-16 14:32 1.9M 
[   ]primecount-doc-7.17-r0.apk2025-05-16 14:32 3.9K 
[   ]primecount-libs-7.17-r0.apk2025-05-16 14:32 121K 
[   ]primesieve-12.8-r0.apk2025-05-11 11:57 40K 
[   ]primesieve-dev-12.8-r0.apk2025-05-11 11:57 1.2M 
[   ]primesieve-doc-12.8-r0.apk2025-05-11 11:57 4.1K 
[   ]primesieve-libs-12.8-r0.apk2025-05-11 11:57 105K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 19:08 1.1M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 19:08 3.3K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 19:08 2.1M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 19:08 39K 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 19:08 1.0M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 19:08 1.1M 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 19:08 748K 
[   ]projectm-3.1.12-r2.apk2024-10-25 19:08 399K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 19:08 632K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 19:08 4.3M 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 19:08 366K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 19:08 2.0K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 19:08 283K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 19:08 5.0K 
[   ]prometheus-ipmi-exporter-1.8.0-r5.apk2025-05-12 07:06 4.2M 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r5.apk2025-05-12 07:06 6.6K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r5.apk2025-05-12 07:06 1.9K 
[   ]prometheus-opnsense-exporter-0.0.8-r0.apk2025-05-14 06:26 4.7M 
[   ]prometheus-opnsense-exporter-openrc-0.0.8-r0.apk2025-05-14 06:26 2.1K 
[   ]prometheus-podman-exporter-1.17.0-r0.apk2025-06-20 04:10 15M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r28.apk2025-05-12 07:06 4.1M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r28.apk2025-05-12 07:06 1.7K 
[   ]prometheus-smartctl-exporter-0.14.0-r0.apk2025-05-14 06:52 4.6M 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r0.apk2025-05-14 06:52 1.9K 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-12 07:06 3.6M 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-12 07:06 2.0K 
[   ]proot-5.4.0-r1.apk2024-10-25 19:08 62K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 19:08 10K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 19:08 95K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 19:08 2.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 19:08 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 19:08 2.6K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 19:08 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 19:08 3.1K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 19:08 1.8K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 19:08 2.0K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:08 7.2K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 19:08 3.3K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 19:08 2.8K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 19:08 2.9K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 19:08 2.0K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 19:08 1.8K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 19:08 2.2K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 19:08 5.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 19:08 5.6K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 19:08 6.9K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 19:08 3.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 19:08 104K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 19:08 2.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 19:08 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 19:08 1.7K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 19:08 2.0K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 19:08 1.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 19:08 2.8K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 19:08 8.6K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 19:08 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 19:08 2.0K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 19:08 2.7K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 19:08 2.6K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 19:08 1.5K 
[   ]protoc-gen-go-1.36.6-r0.apk2025-05-16 07:14 2.2M 
[   ]protoconf-0.1.7-r13.apk2025-05-12 07:06 7.4M 
[   ]prowlarr-1.37.0.5076-r0.apk2025-06-13 13:55 20M 
[   ]prowlarr-openrc-1.37.0.5076-r0.apk2025-06-13 13:55 2.0K 
[   ]psftools-1.1.2-r0.apk2024-10-25 19:08 190K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 19:08 41K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 19:08 60K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 19:08 11K 
[   ]psi-plus-1.5.1965-r1.apk2025-05-12 21:20 8.3M 
[   ]psi-plus-plugins-1.5.1965-r1.apk2025-05-12 21:20 1.6M 
[   ]pspp-2.0.1-r0.apk2024-10-25 19:08 9.1M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 19:08 4.4M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 19:08 9.0K 
[   ]psst-0_git20240526-r1.apk2024-10-25 19:08 6.9M 
[   ]ptpd-2.3.1-r1.apk2024-10-25 19:08 175K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 19:08 20K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 19:08 2.4K 
[   ]ptylie-0.2-r2.apk2025-05-12 21:20 10K 
[   ]ptylie-doc-0.2-r2.apk2025-05-12 21:20 3.2K 
[   ]pully-1.0.0-r0.apk2024-10-25 19:08 2.5K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 19:08 1.7K 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 12:11 1.1M 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 12:11 64K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 19:08 808K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 19:08 3.7K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 19:08 65K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 19:08 188K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 19:08 282K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 19:08 4.0K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 19:08 6.8K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 19:08 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 19:08 2.9K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 19:08 17K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 19:08 21K 
[   ]py3-aesedb-0.1.6-r3.apk2025-05-29 11:58 36K 
[   ]py3-aesedb-pyc-0.1.6-r3.apk2025-05-29 11:58 75K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-19 11:44 19K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-19 11:44 21K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 19:08 29K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 19:08 60K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 19:08 446K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 19:08 51K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 19:08 12K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 19:08 9.2K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 19:08 10K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 19:08 15K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 19:08 21K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 19:08 19K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 19:08 30K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 19:08 16K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 19:08 24K 
[   ]py3-aiosmb-0.4.11-r1.apk2025-05-29 11:58 597K 
[   ]py3-aiosmb-pyc-0.4.11-r1.apk2025-05-29 11:58 1.1M 
[   ]py3-aiowinreg-0.0.12-r1.apk2025-05-29 11:58 22K 
[   ]py3-aiowinreg-pyc-0.0.12-r1.apk2025-05-29 11:58 45K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 19:08 388K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 19:08 18K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 19:08 673K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 19:08 3.6K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 19:08 3.3K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 19:08 21K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 19:08 29K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 19:08 18K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 19:08 22K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 19:08 275K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 19:08 3.3K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 19:08 8.5M 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 19:08 179K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 19:08 72K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 19:08 77K 
[   ]py3-apk3-3.0.0_rc4_git20250421-r0.apk2025-05-12 20:17 3.9K 
[   ]py3-apsw-3.49.1.0-r0.apk2025-02-25 06:29 817K 
[   ]py3-apsw-pyc-3.49.1.0-r0.apk2025-02-25 06:29 526K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 12:11 70K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 19:08 13K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 19:08 26K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 19:08 5.0K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 19:08 4.5K 
[   ]py3-astral-3.2-r3.apk2024-10-25 19:08 37K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 19:08 59K 
[   ]py3-asyauth-0.0.21-r1.apk2025-05-29 11:58 77K 
[   ]py3-asyauth-pyc-0.0.21-r1.apk2025-05-29 11:58 169K 
[   ]py3-async-lru-2.0.5-r0.apk2025-03-19 11:44 7.0K 
[   ]py3-async-lru-pyc-2.0.5-r0.apk2025-03-19 11:44 8.5K 
[   ]py3-asysocks-0.2.13-r1.apk2025-05-29 11:58 84K 
[   ]py3-asysocks-pyc-0.2.13-r1.apk2025-05-29 11:58 229K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 19:08 98K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 19:08 191K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-11 11:57 215K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-11 11:57 403K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 19:08 6.9K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 19:08 7.2K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 19:08 46K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 19:08 69K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 19:08 16K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 19:08 4.3K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 19:08 11K 
[   ]py3-beartype-0.21.0-r0.apk2025-05-25 13:46 926K 
[   ]py3-beartype-pyc-0.21.0-r0.apk2025-05-25 13:46 673K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 19:08 17K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 19:08 10K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-25 07:04 40K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-25 07:04 49K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 19:08 28K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 19:08 29K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 14K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 19:08 33K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 19:08 13K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 19:08 370K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 19:08 11K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 19:08 18K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 15:33 68K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 15:33 149K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 15:33 2.5M 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 19:08 43K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 19:08 67K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 19:08 4.9K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 19:08 5.2K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 19:08 4.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 19:08 3.3K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 19:08 3.1K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 19:08 4.0K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 19:08 3.7K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 19:08 3.2K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 19:08 2.6K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 19:08 6.1K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 19:08 5.2K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 19:08 10K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 19:08 7.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 19:08 4.8K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 19:08 5.6K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 19:08 4.7K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 19:08 5.2K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 19:08 4.6K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 19:08 3.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 19:08 4.1K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 19:08 4.3K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 19:08 12K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 19:08 19K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 19:08 11K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 19:08 16K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 19:08 32K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 19:08 54K 
[   ]py3-caldav-2.0.1-r0.apk2025-06-26 13:55 88K 
[   ]py3-caldav-pyc-2.0.1-r0.apk2025-06-26 13:55 118K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 19:08 286K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 19:08 560K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 19:08 57K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 19:08 103K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 19:08 120K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 19:08 3.1K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-25 07:04 96K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-25 07:04 43K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 19:08 8.7K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 19:08 9.1K 
[   ]py3-chameleon-4.5.4-r0.apk2024-10-25 19:08 97K 
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-10-25 19:08 131K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 19:08 16K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 19:18 4.5K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 19:18 5.3K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 19:08 6.1K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 19:08 8.7K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 19:08 11K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 19:08 14K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 19:08 5.1K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 19:08 4.5K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 19:08 6.3K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 19:08 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 19:08 8.0K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 19:08 9.8K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 19:08 139K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 19:08 223K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 19:08 16K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 19:08 12K 
[   ]py3-colander-2.0-r2.apk2024-10-25 19:08 62K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 19:08 42K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 19:08 7.3K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 19:08 10K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 19:08 8.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 19:08 7.5K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 19:08 23K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 19:08 3.0K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 19:08 40K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 19:08 35K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 19:08 3.7K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 19:08 47K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 19:08 22K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 19:08 43K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 19:08 12K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 19:08 4.7K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 19:08 40K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 19:08 15K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-11 11:57 166K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-11 11:57 1.9K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 19:08 155K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 19:08 279K 
[   ]py3-cstruct-5.3-r1.apk2024-10-25 19:08 22K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-10-25 19:08 36K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:13 8.7K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:13 10K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 19:08 632K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 19:08 936K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 19:08 16K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 19:08 1.8K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-13 10:33 16K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 19:08 27K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 19:08 36K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 19:08 11K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 19:08 14K 
[   ]py3-dateparser-1.2.0-r0.apk2024-11-23 04:07 197K 
[   ]py3-dateparser-pyc-1.2.0-r0.apk2024-11-23 04:07 334K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 19:08 4.4K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 19:08 4.2K 
[   ]py3-dbus-fast-2.44.1-r0.apk2025-05-11 11:57 771K 
[   ]py3-dbus-fast-doc-2.44.1-r0.apk2025-05-11 11:57 6.3K 
[   ]py3-dbus-fast-pyc-2.44.1-r0.apk2025-05-11 11:57 129K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 19:08 13K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 19:08 2.3K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 19:08 20K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 19:08 22K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 19:08 37K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 19:08 24K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 19:08 13K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 19:08 46K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 19:08 49K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 19:08 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 19:08 15K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 19:08 366K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 19:08 32K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 19:08 4.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 19:08 5.0K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 19:08 52K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 19:08 109K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 18:17 53K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 18:17 90K 
[   ]py3-doi-0.2-r0.apk2025-04-12 12:23 6.2K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-12 12:23 4.7K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 19:08 77K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 19:08 133K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 19:08 25K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 19:08 34K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 19:08 8.4K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 19:08 8.7K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 18:17 11K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 18:17 14K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 19:08 17K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 19:08 18K 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 19:08 4.1M 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 19:08 97K 
[   ]py3-dunamai-1.24.0-r0.apk2025-05-11 11:57 27K 
[   ]py3-dunamai-pyc-1.24.0-r0.apk2025-05-11 11:57 43K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 19:08 221K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 19:08 9.1K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 19:08 6.3K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-14 00:06 13K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 19:08 27K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 19:08 3.6K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 19:08 123K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 19:08 190K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 19:08 14K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 19:08 2.0K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 19:08 39K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 19:08 58K 
[   ]py3-enzyme-0.5.1-r1.apk2025-05-14 18:17 23K 
[   ]py3-enzyme-pyc-0.5.1-r1.apk2025-05-14 18:17 19K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 19:08 7.6K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 19:08 2.5K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 19:08 8.3K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 19:08 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 19:08 33K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 21:35 332K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 21:35 336K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 19:08 19K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 19:08 27K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 19:08 38K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 19:08 4.2K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 19:08 40K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 19:08 62K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 19:08 18K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 19:08 27K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 18:17 24K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 18:17 33K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 19:08 14K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 19:08 21K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 19:08 5.2K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 19:08 2.6K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 13K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.9K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 19:08 18K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 19:08 3.3K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 19:08 6.2K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 19:08 6.0K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 19:08 15K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 19:08 17K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 19:08 18K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 19:08 5.4K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 19:08 7.1K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 19:08 5.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 19:08 6.7K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 19:08 4.4K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 19:08 5.3K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 19:08 3.7K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 19:08 3.6K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 19:08 2.2K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-10-25 19:08 5.0K 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-10-25 19:08 3.7K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 19:08 6.5M 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 19:08 358K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 19:08 5.1K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 19:08 5.0K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 19:08 5.3K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 19:08 4.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 19:08 7.1K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 19:08 5.8K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-12 21:20 449K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-12 21:20 11K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 19:08 13K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 19:08 18K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 19:08 4.8K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 19:08 4.1K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 19:08 3.9K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 19:08 3.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 19:08 86K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 19:08 6.3K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 22:57 11K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 22:57 14K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 19:08 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 19:08 2.8K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 19:08 3.2K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 19:08 2.5K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 19:08 8.0K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 19:08 11K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 19:08 4.1K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 19:08 3.4K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-25 07:04 27K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-25 07:04 47K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 19:08 5.6K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 19:08 7.9K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 19:08 16K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 19:08 26K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 19:08 5.6K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 19:08 3.9K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 19:08 13K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 19:08 18K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 19:08 8.2K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 19:08 11K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 19:08 172K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 19:08 95K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 06:32 18K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 06:32 6.2K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 19:08 115K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 19:08 20K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 19:08 40K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 19:08 59K 
[   ]py3-flask-security-5.6.1-r0.apk2025-04-22 21:24 295K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-04-22 21:24 227K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 19:08 7.9K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 19:08 7.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 19:08 9.0K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 19:08 9.7K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 19:08 40K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 19:08 89K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 19:08 161K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 19:08 21K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 19:08 32K 
[   ]py3-gdcm-3.0.24-r0.apk2024-10-25 19:08 659K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 19:08 22K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 19:08 20K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 19:08 30K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 19:08 12K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 19:08 14K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 19:08 128K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 19:08 227K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 19:08 10K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 19:08 13K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 19:08 47K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 19:08 84K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 19:08 9.2K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 19:08 11K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-25 19:08 15K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-25 19:08 17K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 18:17 6.7K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 18:17 5.8K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 20:32 45K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 20:32 30K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 19:08 11K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 19:08 14K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 19:08 25K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 19:08 24K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 19:08 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 19:08 13K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 19:08 19K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 19:08 28K 
[   ]py3-hfst-3.16.2-r0.apk2025-03-29 18:40 344K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 19:08 70K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 19:08 107K 
[   ]py3-hishel-0.1.2-r0.apk2025-04-11 18:19 33K 
[   ]py3-hishel-pyc-0.1.2-r0.apk2025-04-11 18:19 73K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 19:08 154K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 19:08 22K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 19:08 4.6K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 19:08 3.3K 
[   ]py3-igraph-0.11.9-r0.apk2025-06-11 23:26 394K 
[   ]py3-igraph-dev-0.11.9-r0.apk2025-06-11 23:26 2.6K 
[   ]py3-igraph-pyc-0.11.9-r0.apk2025-06-11 23:26 371K 
[   ]py3-imageio-2.35.1-r0.apk2024-10-25 19:08 287K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 19:08 16K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 19:08 20K 
[   ]py3-imageio-pyc-2.35.1-r0.apk2024-10-25 19:08 504K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 19:08 229K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 19:08 243K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 19:08 13K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 19:08 20K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 19:08 4.4K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 19:08 3.7K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 19:08 19K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 19:08 10K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 19:08 25K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 19:08 9.4K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 19:08 15K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 21:37 12K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 21:37 4.6K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 19:08 41K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 19:08 71K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-25 07:04 43K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-25 07:04 67K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 19:08 269K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 19:08 9.7K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 13K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 19:08 13K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 19:08 17K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 19:08 6.0K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 19:08 5.3K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 18:17 9.7K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 18:17 15K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:57 12K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:57 13K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-20 04:10 5.3K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-20 04:10 6.1K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 19:08 7.6K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 19:08 9.5K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:50 6.7K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:50 8.1K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-10 20:53 10K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-10 20:53 16K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 19:08 5.9K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 19:08 6.1K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 21:08 25K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 21:08 29K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 19:08 8.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 19:08 9.3K 
[   ]py3-jupyterlab3-3.6.7-r0.apk2025-03-19 11:44 14M 
[   ]py3-jupyterlab_server-2.27.3-r0.apk2025-03-19 11:44 124K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 19:08 125K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 19:08 245K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 19:08 9.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 19:08 2.0K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 19:08 13K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 19:08 16K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 19:08 8.4K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 19:08 9.5K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 19:08 174K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 19:08 110K 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 19:08 72K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 19:08 35K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 19:08 16K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 19:08 9.5K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 19:08 25K 
[   ]py3-libcec-rpi-6.0.2-r4.apk2024-10-25 19:08 102K 
[   ]py3-libguestfs-1.52.0-r3.apk2025-06-13 14:11 175K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 19:08 13K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:36 30K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:36 50K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 19:08 28K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 19:08 33K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 19:08 20K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 19:08 30K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:39 12K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:39 18K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 19:08 32K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 19:08 25K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 18:58 33K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 18:58 71K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 19:08 21K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 19:08 23K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 21:19 14K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 21:19 22K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 19:08 1.6K 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 19:08 1.1M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 19:08 5.6M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 19:08 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 19:08 934K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 19:08 500K 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 19:08 10M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 19:08 1.8M 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 19:08 112K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 19:08 208K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 19:08 19M 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 19:08 45K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 19:08 221K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 19:08 7.6K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 19:08 230K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 19:08 57M 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 19:08 675K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 19:08 713K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 19:08 2.4M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 19:08 58K 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 19:08 2.2M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 19:08 4.7M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 19:08 112K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:22 766K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:22 30K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 19:08 4.2K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 19:08 3.1K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 19:08 17K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 19:08 4.1K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 19:08 7.5K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 19:08 6.4K 
[   ]py3-lsp-mypy-0.7.0-r0.apk2025-02-22 12:17 13K 
[   ]py3-lsp-mypy-pyc-0.7.0-r0.apk2025-02-22 12:17 13K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 19:08 69K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 19:08 107K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 19:08 4.0K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 19:08 2.6K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 19:08 32K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 19:08 51K 
[   ]py3-lxmf-0.7.1-r0.apk2025-05-27 21:41 51K 
[   ]py3-lxmf-pyc-0.7.1-r0.apk2025-05-27 21:41 109K 
[   ]py3-ly-0.9.8-r1.apk2024-10-25 19:08 187K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-10-25 19:08 8.1K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-10-25 19:08 355K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 19:08 16K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 19:08 2.0K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 19:08 186K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 19:08 123K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 19:08 22K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 19:08 4.2K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 19:08 36K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 20:13 39K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 20:13 26K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 19:08 54K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 13:12 125K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 19:08 47K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 19:08 75K 
[   ]py3-markdownify-1.1.0-r0.apk2025-04-18 04:13 15K 
[   ]py3-markdownify-pyc-1.1.0-r0.apk2025-04-18 04:13 17K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-22 12:17 48K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 19:08 5.3K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 19:08 4.5K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-22 12:17 85K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 11:58 883K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 11:58 27K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 19:08 143K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 19:08 296K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:04 26K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:04 41K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 18:52 10K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 18:52 23K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 11:58 64K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 11:58 129K 
[   ]py3-minikerberos-0.4.6-r1.apk2025-05-29 11:58 128K 
[   ]py3-minikerberos-pyc-0.4.6-r1.apk2025-05-29 11:58 268K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 18:58 76K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 18:58 160K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-19 11:44 45K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-19 11:44 93K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 19:08 95K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 19:08 2.4K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 19:08 9.7K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 19:08 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 19:08 48K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-20 04:10 715K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-20 04:10 2.6K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 19:08 25K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 19:08 37K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:25 28K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:25 34K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 19:08 46K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 19:08 74K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 19:08 25K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 19:08 36K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 19:08 7.4K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 19:08 8.2K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 19:08 94K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 19:08 156K 
[   ]py3-msldap-0.5.15-r1.apk2025-05-29 11:58 143K 
[   ]py3-msldap-pyc-0.5.15-r1.apk2025-05-29 11:58 330K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:10 51K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 19:08 9.4K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 19:08 9.9K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 19:08 68K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 19:08 107K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 19:08 171K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 19:08 9.2K 
[   ]py3-netmiko-4.5.0-r0.apk2025-02-13 07:33 179K 
[   ]py3-netmiko-pyc-4.5.0-r0.apk2025-02-13 07:33 348K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 19:08 20K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 19:08 25K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 19:08 9.4K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 19:08 9.9K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 19:08 7.6K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 19:08 5.7K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 19:08 21K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 19:08 32K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 19:08 7.4K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 19:08 8.6K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:04 21K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:04 28K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 19:08 4.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 19:08 78K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 19:08 7.9M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 19:08 243K 
[   ]py3-onnxruntime-1.22.0-r1.apk2025-06-12 12:11 11M 
[   ]py3-onnxruntime-pyc-1.22.0-r1.apk2025-06-12 12:11 1.3M 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 19:08 7.7K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 19:08 12K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 20:56 144K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 19:08 8.2K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 19:08 10K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 19:08 498K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 19:08 42K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 19:08 12K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 19:08 17K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 19:08 10K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 19:08 8.2K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 19:08 46K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 19:08 91K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-05-11 11:57 50K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-05-11 11:57 89K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 19:08 103K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 19:08 164K 
[   ]py3-ovos-config-1.2.2-r0.apk2025-06-01 16:49 46K 
[   ]py3-ovos-config-pyc-1.2.2-r0.apk2025-06-01 16:49 35K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 19:08 358K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 19:08 437K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-10-25 19:08 9.0K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-10-25 19:08 4.8K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 19:08 550K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 19:08 86K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 19:08 47K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 19:08 104K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 19:08 8.1K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 19:08 3.4K 
[   ]py3-ovos-ocp-news-plugin-0.0.4-r0.apk2024-10-25 19:08 11K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk2024-10-25 19:08 8.9K 
[   ]py3-ovos-ocp-rss-plugin-0.0.3-r0.apk2024-10-25 19:08 8.3K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk2024-10-25 19:08 3.7K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 13:31 8.6K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 13:31 5.0K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 19:08 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 19:08 4.4K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:31 95K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:31 11K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:31 12K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:31 9.7K 
[   ]py3-ovos-phal-plugin-system-1.3.2-r0.apk2025-04-08 07:43 11K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk2025-04-08 07:43 10K 
[   ]py3-ovos-plugin-manager-0.9.0-r0.apk2025-06-01 16:49 94K 
[   ]py3-ovos-plugin-manager-pyc-0.9.0-r0.apk2025-06-01 16:49 181K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 19:08 10K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 19:08 6.8K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 19:08 8.3K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 19:08 4.1K 
[   ]py3-ovos-tts-plugin-piper-0.0.1-r0.apk2024-10-25 19:08 12K 
[   ]py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk2024-10-25 19:08 11K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 19:08 9.5K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 19:08 5.4K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 12:41 69K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 12:41 124K 
[   ]py3-ovos-workshop-3.4.0-r0.apk2025-05-26 12:35 92K 
[   ]py3-ovos-workshop-pyc-3.4.0-r0.apk2025-05-26 12:35 166K 
[   ]py3-ovos-ww-plugin-vosk-0.1.3-r0.apk2024-11-21 13:31 11K 
[   ]py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk2024-11-21 13:31 12K 
[   ]py3-owslib-0.34.0-r0.apk2025-06-08 16:55 195K 
[   ]py3-owslib-pyc-0.34.0-r0.apk2025-06-08 16:55 424K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 19:08 368K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 19:08 4.0K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 19:08 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 19:08 12K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 19:08 11K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 19:08 13K 
[   ]py3-pathvalidate-3.2.3-r0.apk2025-01-06 10:40 19K 
[   ]py3-pathvalidate-pyc-3.2.3-r0.apk2025-01-06 10:40 33K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 19:08 6.3K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 19:08 7.1K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 21:46 156K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 21:46 13K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 19:08 234K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 19:08 147K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 19:08 9.8K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 19:08 13K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 19:08 8.9K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 19:08 11K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 19:08 13K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 19:08 2.2K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 19:08 17K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 19:08 20K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 19:08 34K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 19:08 7.5K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 19:08 5.4K 
[   ]py3-pigpio-79-r4.apk2024-10-25 19:08 93K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 19:08 143K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 19:08 246K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 19:08 40K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 19:08 36K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 19:08 7.0K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 19:08 4.7K 
[   ]py3-piper-phonemize-2023.11.14.4-r8.apk2025-05-18 15:55 138K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r8.apk2025-05-18 15:55 3.4K 
[   ]py3-piper-tts-2023.11.14.2-r13.apk2025-05-27 21:41 41K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 19:08 6.9K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 19:08 8.5K 
[   ]py3-plotly-5.24.1-r2.apk2025-04-18 22:10 20M 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:28 19K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:28 33K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 19:08 15K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 19:08 25K 
[   ]py3-poetry-dynamic-versioning-1.8.2-r0.apk2025-05-11 11:57 20K 
[   ]py3-poetry-dynamic-versioning-pyc-1.8.2-r0.apk2025-05-11 11:57 26K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 19:44 111K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 21:08 16K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 21:08 17K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 19:08 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 19:08 16K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 19:08 8.9K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 19:08 2.6K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 19:08 5.0K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 19:08 7.4K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 19:08 9.9K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:18 9.3K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:18 12K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 28K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 19:08 21K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 19:08 38K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 19:08 17K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 19:08 11K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 19:08 52K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 19:08 92K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 19:08 35K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 19:08 45K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 19:08 15K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 19:08 17K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 19:08 360K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 19:08 5.5K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 19:08 3.7K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 19:08 42K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 19:08 11K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 19:08 3.6K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 19:08 13K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 19:08 8.2K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 19:08 11K 
[   ]py3-pyglet-2.1.0-r0.apk2025-01-12 09:01 870K 
[   ]py3-pyglet-pyc-2.1.0-r0.apk2025-01-12 09:01 1.6M 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:41 1.4M 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 19:08 34K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 19:08 5.1K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 19:08 15K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 19:08 10K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 19:08 1.9M 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 19:08 502K 
[   ]py3-pyinstrument-5.0.2-r0.apk2025-05-25 05:30 109K 
[   ]py3-pyinstrument-pyc-5.0.2-r0.apk2025-05-25 05:30 100K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 19:08 20K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 19:08 9.4K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 19:08 17K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 19:08 8.9K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 19:08 18K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 19:08 35K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 19:08 52K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 19:08 32K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 19:08 22K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 19:08 29K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 19:08 23K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 19:08 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 19:08 17K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 19:08 32K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 19:08 9.2K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 19:08 9.8K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-22 12:17 12K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-22 12:17 6.5K 
[   ]py3-pymupdf-1.25.5-r0.apk2025-06-10 19:48 316K 
[   ]py3-pymupdf-pyc-1.25.5-r0.apk2025-06-10 19:48 503K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:42 224K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 19:08 74K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 19:08 42K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 19:08 52K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 19:08 2.1K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 19:08 90K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 19:08 37K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 19:08 4.3K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 19:08 47K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 19:08 9.6K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 19:08 18K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 19:08 22K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 19:08 26K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 19:08 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 19:08 14K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 19:08 44K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 19:08 78K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-16 22:35 35K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-16 22:35 32K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 19:08 56K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 19:08 63K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 18:17 25K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 18:17 23K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 19:08 68K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 19:08 97K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:09 36K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:09 68K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 19:29 37K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 19:29 2.9K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 19:08 7.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 19:08 2.8K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 19:08 6.0K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-12 21:20 30K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-12 21:20 39K 
[   ]py3-pytest-datadir-1.7.1-r0.apk2025-06-04 12:51 6.6K 
[   ]py3-pytest-datadir-pyc-1.7.1-r0.apk2025-06-04 12:51 4.9K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 19:08 5.9K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 19:08 7.0K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 19:08 4.5K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 19:08 2.8K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 19:08 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 19:08 22K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 19:08 10K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 19:08 7.9K 
[   ]py3-pytest-regtest-2.3.2-r1.apk2025-02-22 12:17 16K 
[   ]py3-pytest-regtest-pyc-2.3.2-r1.apk2025-02-22 12:17 30K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 19:08 20K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 19:08 25K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 19:08 7.4K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 19:08 9.4K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 19:08 38K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 19:08 68K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 21:22 8.1K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 21:22 6.5K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 19:08 8.6K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 19:08 2.3K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 19:08 8.4K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 19:08 806K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 19:08 293K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:46 23K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:46 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 19:08 40K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 19:08 54K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 19:08 37K 
[   ]py3-qgis-3.40.8-r0.apk2025-06-22 10:59 20M 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 19:08 98K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 19:08 56K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 19:08 180K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 19:08 33K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 19:08 25K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 19:08 8.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 19:08 7.8K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 19:08 13K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 19:08 25K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 19:08 11K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 19:08 15K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 19:08 32K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 19:08 5.1K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 19:08 50K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 19:08 12K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 19:08 18K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-15 04:00 39K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-15 04:00 50K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 19:08 37K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 19:08 54K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 21:24 24K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 21:24 23K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-20 02:43 50K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-20 02:43 94K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:24 12K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:24 11K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 19:08 5.5K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 19:08 6.6K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 19:08 13K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 19:08 12K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 19:08 31K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 19:08 40K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 19:08 13K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 19:08 11K 
[   ]py3-rns-0.9.6-r0.apk2025-05-27 21:41 344K 
[   ]py3-rns-pyc-0.9.6-r0.apk2025-05-27 21:41 704K 
[   ]py3-rofi-1.0.1-r0.apk2025-06-10 19:48 12K 
[   ]py3-rofi-pyc-1.0.1-r0.apk2025-06-10 19:48 12K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 19:08 47K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 19:08 91K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 19:08 29K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 19:08 54K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 19:08 35K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 19:08 16K 
[   ]py3-rst-0.1-r9.apk2024-10-25 19:08 5.6K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 19:08 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 19:08 6.1K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 19:08 6.6K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 19:08 12K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 19:08 2.2K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 19:08 24K 
[   ]py3-rst2pdf-0.102-r0.apk2025-06-10 19:48 155K 
[   ]py3-rst2pdf-pyc-0.102-r0.apk2025-06-10 19:48 206K 
[   ]py3-rtree-1.4.0-r2.apk2025-05-31 10:32 26K 
[   ]py3-rtree-pyc-1.4.0-r2.apk2025-05-31 10:32 47K 
[   ]py3-schema-0.7.5-r4.apk2024-10-25 19:08 18K 
[   ]py3-schema-pyc-0.7.5-r4.apk2024-10-25 19:08 18K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 19:08 56K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 19:08 74K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 19:08 240K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 19:08 482K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 19:08 94K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 19:08 4.9K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 02:58 20K 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 02:58 33K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 19:08 2.5M 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:08 42K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 19:08 5.4K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 19:08 6.0K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:13 38K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:13 55K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 19:08 44K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 19:08 7.2K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 19:08 79K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 18:17 12K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 18:17 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 19:08 8.0K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 19:08 5.8K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 19:08 214K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 19:08 157K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 19:08 7.9K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 19:08 12K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 19:08 7.5K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 19:08 8.1K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-12 12:23 193K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-12 12:23 2.0K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 19:08 381K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 19:08 5.8K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 19:08 729K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 19:08 15K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 19:08 26K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 22:54 47K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 22:54 95K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 19:08 17K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 19:08 27K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 19:08 11K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 19:08 14K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 19:08 30K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 19:08 44K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 19:08 14K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 19:08 22K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-22 12:17 31K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-22 12:17 59K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 19:08 11K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 19:08 2.0K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 19:08 1.2M 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 19:08 2.3K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 19:08 65K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 19:08 1.8K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 19:08 81K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 19:08 43K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 19:08 30K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 19:08 2.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 19:08 2.4M 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 19:08 5.5K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 19:08 8.8K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 19:08 2.2K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 19:08 7.6K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 19:08 9.3K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 19:08 9.0K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 19:08 12K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 19:08 5.7K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 19:08 4.1K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 19:08 7.5K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 19:08 9.2K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 19:08 5.7K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 19:08 4.2K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 19:08 18K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 19:08 34K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 22:57 12K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 22:57 16K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 19:08 9.1K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 19:08 3.5K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 19:08 3.9K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 19:08 3.3K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 19:08 17K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 19:08 6.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 19:08 7.9K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 19:08 7.6K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 19:08 11K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 19:08 15K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 19:08 18K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 19:08 4.3K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 19:08 34K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 19:08 21K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 19:08 44K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 19:08 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 19:08 15K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 19:08 11K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 19:08 12K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 19:08 7.9K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 19:08 11K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 19:08 4.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 19:08 3.1K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-11 11:57 10K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-11 11:57 16K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 19:08 8.7K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 19:08 11K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-10-25 19:08 11K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-10-25 19:08 17K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 19:08 16K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 19:08 24K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 19:08 11K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 19:08 20K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 19:08 7.6K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 19:08 9.3K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-12 21:20 4.9K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-12 21:20 5.6K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 19:08 15K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 19:08 19K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 19:08 7.3K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 19:08 4.9K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 19:08 6.1K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 19:08 5.7K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 19:08 13K 
[   ]py3-spin-0.8-r0.apk2024-10-25 19:08 19K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 19:08 24K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 19:08 6.1K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 19:08 6.3K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:51 118K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:51 219K 
[   ]py3-spotipy-2.24.0-r2.apk2025-05-14 18:17 30K 
[   ]py3-spotipy-pyc-2.24.0-r2.apk2025-05-14 18:17 50K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:03 26K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:03 41K 
[   ]py3-sssd-2.11.0-r0.apk2025-06-06 08:59 55K 
[   ]py3-sssd-pyc-2.11.0-r0.apk2025-06-06 08:59 49K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 19:08 7.7K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 19:08 10K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 19:48 30K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 19:48 2.1K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 19:48 42K 
[   ]py3-svgpath-6.3-r3.apk2024-10-25 19:08 17K 
[   ]py3-svgpath-pyc-6.3-r3.apk2024-10-25 19:08 22K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 19:08 2.5M 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 19:08 2.1K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 19:08 9.8K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 19:08 5.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 19:08 6.9K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 19:08 6.6K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 19:08 23K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 19:08 52K 
[   ]py3-telegram-0.18.0-r3.apk2024-10-25 19:08 14K 
[   ]py3-telegram-bot-21.10-r0.apk2025-02-22 12:17 454K 
[   ]py3-telegram-bot-pyc-21.10-r0.apk2025-02-22 12:17 724K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-10-25 19:08 21K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 19:08 9.2K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 19:08 13K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 19:08 21K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 19:08 31K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 19:08 15K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 19:08 21K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 19:08 17K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 19:08 16K 
[   ]py3-textual-3.2.0-r0.apk2025-05-14 09:43 596K 
[   ]py3-textual-pyc-3.2.0-r0.apk2025-05-14 09:43 1.2M 
[   ]py3-tg-0.19.0-r5.apk2024-10-25 19:08 73K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-10-25 19:08 81K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 19:08 10K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 19:08 9.0K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 19:08 6.0K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 19:08 6.4K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-10-25 19:08 36K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-10-25 19:08 62K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 19:08 24K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 19:08 2.8K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 19:08 28K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 19:08 9.2K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 19:08 17K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 19:08 179K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 19:08 275K 
[   ]py3-tokenizers-0.21.1-r0.apk2025-05-11 11:57 1.5M 
[   ]py3-tokenizers-pyc-0.21.1-r0.apk2025-05-11 11:57 29K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 19:08 280K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 19:08 236K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 19:08 98K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 19:08 129K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 19:08 9.2K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 19:08 8.8K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 19:08 34K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 19:08 55K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-10 03:38 17K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-10 03:38 26K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 19:08 24K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 19:08 39K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 19:08 9.9K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 19:08 14K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 19:08 11K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 19:08 16K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 19:08 5.7K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 19:08 2.2K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 19:08 6.8K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 19:08 9.1K 
[   ]py3-unearth-0.17.5-r0.apk2025-04-11 18:19 40K 
[   ]py3-unearth-pyc-0.17.5-r0.apk2025-04-11 18:19 82K 
[   ]py3-unicorn-hat-2.1.2-r6.apk2024-11-06 09:41 16K 
[   ]py3-unicrypto-0.0.10-r3.apk2025-05-29 11:58 59K 
[   ]py3-unicrypto-pyc-0.0.10-r3.apk2025-05-29 11:58 92K 
[   ]py3-unidns-0.0.1-r3.apk2025-05-29 11:58 13K 
[   ]py3-unidns-examples-0.0.1-r3.apk2025-05-29 11:58 2.6K 
[   ]py3-unidns-pyc-0.0.1-r3.apk2025-05-29 11:58 21K 
[   ]py3-unoconv-0.9.0-r4.apk2025-05-12 21:20 26K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 19:08 9.6K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 19:08 8.7K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 19:08 15K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 19:08 25K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 19:08 14K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 19:08 15K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 19:08 3.5K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 19:08 2.8K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 19:08 19K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 19:08 8.6K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 19:08 11K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 19:08 17K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 13K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 19:08 22K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 19:08 12K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 19:08 4.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 19:08 2.6K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 19:08 41K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 19:08 80K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 19:08 18K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 19:08 20K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 19:08 7.6K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 19:08 13K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 06:49 22K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 06:49 12K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 19:08 13K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 19:08 14K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 11:58 83K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 11:58 131K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 19:08 17K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 19:08 28K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 19:08 53K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 19:08 111K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 19:08 13K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 19:08 25K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:13 12K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:13 7.1K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:04 34K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:31 312K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-12 21:20 94K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-12 21:20 165K 
[   ]py3-xsdata-25.4-r0.apk2025-04-14 09:33 190K 
[   ]py3-xsdata-pyc-25.4-r0.apk2025-04-14 09:33 393K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 19:08 32K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 19:08 47K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 19:08 16K 
[   ]py3-yosys-0.42-r1.apk2025-06-12 12:11 1.8K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 18:17 78K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 18:17 95K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:41 52K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:41 68K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 19:08 45K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 19:08 29K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 19:08 39K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 19:08 49K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 19:08 15K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 19:08 8.0K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 19:08 45K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 19:08 61K 
[   ]pyinfra-3.2-r0.apk2025-01-25 07:04 186K 
[   ]pyinfra-pyc-3.2-r0.apk2025-01-25 07:04 349K 
[   ]pympress-1.8.5-r1.apk2024-10-25 19:08 181K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 19:08 348K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 19:08 56K 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 19:08 182K 
[   ]pyonji-0.1.0-r5.apk2025-05-12 07:06 2.8M 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 11:58 315K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 11:58 721K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 19:08 871K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 19:08 113K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 19:08 810K 
[   ]qadwaitadecorations-0.1.6-r0.apk2025-05-11 11:57 42K 
[   ]qbittorrent-cli-2.2.0-r0.apk2025-05-16 14:55 5.6M 
[   ]qdjango-0.6.2-r1.apk2024-10-25 19:08 88K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 19:08 14K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 19:08 411K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 19:08 1.0M 
[   ]qgis-3.40.8-r0.apk2025-06-22 10:59 44M 
[   ]qgis-dev-3.40.8-r0.apk2025-06-22 10:59 3.5M 
[   ]qgis-doc-3.40.8-r0.apk2025-06-22 10:59 3.1K 
[   ]qgis-grass-3.40.8-r0.apk2025-06-22 10:59 1.3M 
[   ]qgis-lang-3.40.8-r0.apk2025-06-22 10:59 33M 
[   ]qgis-server-3.40.8-r0.apk2025-06-22 10:59 1.6M 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 19:08 113K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 19:08 1.5K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 19:08 6.8K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 19:08 25K 
[   ]qownnotes-23.6.6-r0.apk2024-10-25 19:08 2.2M 
[   ]qownnotes-lang-23.6.6-r0.apk2024-10-25 19:08 4.4M 
[   ]qpdfview-0.5-r2.apk2025-01-29 19:44 965K 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 19:44 4.2K 
[   ]qperf-0.4.11-r2.apk2025-05-12 21:20 31K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-12 21:20 5.6K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 19:08 170K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 19:08 54K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 19:08 62K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 19:08 826K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 05:46 430K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 05:46 11K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 19:08 402K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 19:08 4.4K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 19:08 12K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 19:08 3.9K 
[   ]qt5ct-1.8-r0.apk2024-10-25 19:08 210K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 19:08 1.5K 
[   ]qt6ct-0.9-r3.apk2024-12-09 19:40 182K 
[   ]qtile-0.30.0-r0.apk2025-02-10 21:08 436K 
[   ]qtile-pyc-0.30.0-r0.apk2025-02-10 21:08 837K 
[   ]qtmir-0.7.2_git20250407-r0.apk2025-04-18 04:13 457K 
[   ]qtmir-dev-0.7.2_git20250407-r0.apk2025-04-18 04:13 6.6K 
[   ]qtox-1.17.6-r6.apk2024-10-25 19:08 5.0M 
[   ]qtpass-1.4.0-r0.apk2024-10-25 19:08 409K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 19:08 2.1K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 19:08 426K 
[   ]qucs-s-1.1.0-r1.apk2024-10-25 19:08 3.0M 
[   ]qucs-s-doc-1.1.0-r1.apk2024-10-25 19:08 2.3K 
[   ]qucs-s-lang-1.1.0-r1.apk2024-10-25 19:08 865K 
[   ]queercat-1.0.0-r0.apk2024-10-25 19:08 7.7K 
[   ]quickjs-ng-0.10.1-r0.apk2025-05-16 17:20 72K 
[   ]quickjs-ng-dev-0.10.1-r0.apk2025-05-16 17:20 14K 
[   ]quickjs-ng-doc-0.10.1-r0.apk2025-05-16 17:20 6.3K 
[   ]quickjs-ng-libs-0.10.1-r0.apk2025-05-16 17:20 290K 
[   ]quodlibet-4.6.0-r2.apk2025-05-12 21:20 1.0M 
[   ]quodlibet-bash-completion-4.6.0-r2.apk2025-05-12 21:20 4.7K 
[   ]quodlibet-doc-4.6.0-r2.apk2025-05-12 21:20 8.7K 
[   ]quodlibet-lang-4.6.0-r2.apk2025-05-12 21:20 1.2M 
[   ]quodlibet-pyc-4.6.0-r2.apk2025-05-12 21:20 1.8M 
[   ]quodlibet-zsh-completion-4.6.0-r2.apk2025-05-12 21:20 2.7K 
[   ]radarr-5.26.2.10099-r0.apk2025-06-13 16:26 27M 
[   ]radarr-openrc-5.26.2.10099-r0.apk2025-06-13 16:26 2.0K 
[   ]raku-base64-0.1.0-r0.apk2025-06-07 07:43 20K 
[   ]raku-base64-doc-0.1.0-r0.apk2025-06-07 07:43 2.8K 
[   ]raku-cbor-simple-0.1.4-r0.apk2025-06-07 07:43 173K 
[   ]raku-cbor-simple-doc-0.1.4-r0.apk2025-06-07 07:43 7.0K 
[   ]raku-cro-core-0.8.10-r0.apk2025-06-07 07:43 776K 
[   ]raku-cro-core-doc-0.8.10-r0.apk2025-06-07 07:43 2.4K 
[   ]raku-crypt-random-0.4.1-r0.apk2025-06-07 07:43 109K 
[   ]raku-crypt-random-doc-0.4.1-r0.apk2025-06-07 07:43 2.5K 
[   ]raku-datetime-parse-0.9.3-r0.apk2025-06-07 07:43 48K 
[   ]raku-datetime-parse-doc-0.9.3-r0.apk2025-06-07 07:43 3.0K 
[   ]raku-digest-1.1.0-r0.apk2025-06-07 07:43 862K 
[   ]raku-digest-doc-1.1.0-r0.apk2025-06-07 07:43 2.8K 
[   ]raku-digest-hmac-1.0.6_git20231116-r0.apk2025-06-07 07:43 7.2K 
[   ]raku-digest-hmac-doc-1.0.6_git20231116-r0.apk2025-06-07 07:43 3.3K 
[   ]raku-digest-sha1-native-0.06-r0.apk2025-06-08 16:07 46K 
[   ]raku-digest-sha1-native-doc-0.06-r0.apk2025-06-08 16:07 2.4K 
[   ]raku-docker-file-1.1-r0.apk2025-06-07 07:43 131K 
[   ]raku-docker-file-doc-1.1-r0.apk2025-06-07 07:43 2.5K 
[   ]raku-file-find-0.2.1-r0.apk2025-06-08 16:07 17K 
[   ]raku-file-find-doc-0.2.1-r0.apk2025-06-08 16:07 4.2K 
[   ]raku-file-ignore-1.2-r0.apk2025-06-08 16:07 46K 
[   ]raku-file-ignore-doc-1.2-r0.apk2025-06-08 16:07 4.2K 
[   ]raku-file-which-1.0.4-r0.apk2025-06-08 16:07 106K 
[   ]raku-file-which-doc-1.0.4-r0.apk2025-06-08 16:07 3.6K 
[   ]raku-http-hpack-1.0.3-r0.apk2025-06-07 07:43 88K 
[   ]raku-http-hpack-doc-1.0.3-r0.apk2025-06-07 07:43 3.2K 
[   ]raku-if-0.1.3-r0.apk2025-06-07 07:43 28K 
[   ]raku-if-doc-0.1.3-r0.apk2025-06-07 07:43 2.6K 
[   ]raku-io-path-childsecure-1.2-r0.apk2025-06-07 07:43 11K 
[   ]raku-io-path-childsecure-doc-1.2-r0.apk2025-06-07 07:43 2.9K 
[   ]raku-json-class-0.0.21-r0.apk2025-06-07 07:43 48K 
[   ]raku-json-class-doc-0.0.21-r0.apk2025-06-07 07:43 3.3K 
[   ]raku-json-marshal-0.0.25-r0.apk2025-06-07 07:43 71K 
[   ]raku-json-marshal-doc-0.0.25-r0.apk2025-06-07 07:43 3.7K 
[   ]raku-json-name-0.0.7-r0.apk2025-06-07 07:43 37K 
[   ]raku-json-name-doc-0.0.7-r0.apk2025-06-07 07:43 2.8K 
[   ]raku-json-optin-0.0.2-r0.apk2025-06-07 07:43 22K 
[   ]raku-json-optin-doc-0.0.2-r0.apk2025-06-07 07:43 2.7K 
[   ]raku-json-unmarshal-0.18-r0.apk2025-06-07 07:43 72K 
[   ]raku-json-unmarshal-doc-0.18-r0.apk2025-06-07 07:43 3.3K 
[   ]raku-librarymake-1.0.5-r0.apk2025-06-08 16:07 37K 
[   ]raku-librarymake-doc-1.0.5-r0.apk2025-06-08 16:07 4.5K 
[   ]raku-log-timeline-0.5.2-r0.apk2025-06-07 07:43 278K 
[   ]raku-log-timeline-doc-0.5.2-r0.apk2025-06-07 07:43 5.4K 
[   ]raku-meta6-0.0.30-r0.apk2025-06-07 07:43 108K 
[   ]raku-meta6-doc-0.0.30-r0.apk2025-06-07 07:43 3.2K 
[   ]raku-oo-monitors-1.1.5-r0.apk2025-06-07 07:43 13K 
[   ]raku-oo-monitors-doc-1.1.5-r0.apk2025-06-07 07:43 2.9K 
[   ]raku-protocol-mqtt-0.0.4-r0.apk2025-06-08 16:07 223K 
[   ]raku-protocol-mqtt-doc-0.0.4-r0.apk2025-06-08 16:07 2.3K 
[   ]raku-shell-command-1.1-r0.apk2025-06-08 16:07 40K 
[   ]raku-shell-command-doc-1.1-r0.apk2025-06-08 16:07 3.5K 
[   ]raku-terminal-quickcharts-0.0.2-r0.apk2025-06-08 16:07 214K 
[   ]raku-terminal-quickcharts-doc-0.0.2-r0.apk2025-06-08 16:07 3.1K 
[   ]raku-tinyfloats-0.0.5-r0.apk2025-06-07 07:43 35K 
[   ]raku-tinyfloats-doc-0.0.5-r0.apk2025-06-07 07:43 4.1K 
[   ]randrctl-1.10.0-r0.apk2024-11-20 02:43 28K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-20 02:43 31K 
[   ]rankwidth-0.9-r3.apk2024-10-25 19:08 4.9K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 19:08 2.9K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 19:08 3.0K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 19:08 4.6K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 19:08 3.7K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 08:39 886K 
[   ]raspi2png-0.0.20190727-r0.apk2024-10-25 19:08 5.9K 
[   ]rathole-0.5.0-r0.apk2024-10-25 19:08 1.3M 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 19:08 5.3M 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 19:08 3.6K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 19:08 6.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 19:08 4.7K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 19:08 5.4K 
[   ]rauc-1.10.1-r0.apk2024-10-25 19:08 140K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 19:08 4.1K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 19:08 3.7K 
[   ]razercfg-0.42-r7.apk2024-10-25 19:08 68K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 19:08 19K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 19:08 1.7K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 19:08 36K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 19:08 313K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 19:08 737K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:22 24K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:22 3.7K 
[   ]reaction-2.1.0-r0.apk2025-06-24 20:52 1.2M 
[   ]reaction-openrc-2.1.0-r0.apk2025-06-24 20:52 1.8K 
[   ]reaction-tools-2.1.0-r0.apk2025-06-24 20:52 4.4K 
[   ]readosm-1.1.0-r3.apk2025-05-12 21:20 14K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-12 21:20 18K 
[   ]reason-3.8.2-r1.apk2024-10-25 19:08 18M 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 19:08 24M 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 19:08 346K 
[   ]recoll-1.37.5-r1.apk2024-10-25 19:08 2.6M 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 19:08 53K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 19:08 21K 
[   ]recyclarr-7.4.1-r0.apk2025-02-24 11:55 2.6M 
[   ]recyclarr-doc-7.4.1-r0.apk2025-02-24 11:55 2.2K 
[   ]redhat-fonts-4.1.0-r0.apk2025-03-19 11:44 809K 
[   ]refine-0.5.9-r0.apk2025-05-23 19:58 33K 
[   ]refine-lang-0.5.9-r0.apk2025-05-23 19:58 31K 
[   ]reflex-20241231-r0.apk2025-06-21 07:06 65K 
[   ]reflex-dev-20241231-r0.apk2025-06-21 07:06 4.6K 
[   ]reflex-doc-20241231-r0.apk2025-06-21 07:06 37K 
[   ]reg-0.16.1-r28.apk2025-05-12 07:06 4.5M 
[   ]regal-0.33.1-r0.apk2025-05-14 17:28 12M 
[   ]regal-bash-completion-0.33.1-r0.apk2025-05-14 17:28 6.1K 
[   ]regal-fish-completion-0.33.1-r0.apk2025-05-14 17:28 4.3K 
[   ]regal-zsh-completion-0.33.1-r0.apk2025-05-14 17:28 4.0K 
[   ]regclient-0.8.2-r2.apk2025-05-12 07:06 13M 
[   ]remake-1.5-r1.apk2024-10-25 19:08 124K 
[   ]remake-dev-1.5-r1.apk2024-10-25 19:08 2.9K 
[   ]remake-doc-1.5-r1.apk2024-10-25 19:08 202K 
[   ]remake-make-1.5-r1.apk2024-10-25 19:08 1.5K 
[   ]remco-0.12.5-r0.apk2025-05-28 08:00 9.4M 
[   ]remco-doc-0.12.5-r0.apk2025-05-28 08:00 2.3K 
[   ]remco-openrc-0.12.5-r0.apk2025-05-28 08:00 1.7K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 19:08 18K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 19:08 6.1K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 19:08 1.1M 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 19:08 1.6K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 19:08 6.5K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 19:08 4.1K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 19:08 1.6K 
[   ]repo-2.53-r0.apk2025-03-20 01:31 17K 
[   ]repo-doc-2.53-r0.apk2025-03-20 01:31 38K 
[   ]repowerd-2023.07-r3.apk2025-02-22 12:17 790K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-22 12:17 1.7K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 04:07 80K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 04:07 103K 
[   ]reredirect-0.3-r0.apk2024-10-25 19:08 7.2K 
[   ]reredirect-doc-0.3-r0.apk2024-10-25 19:08 2.8K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 19:08 750K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 19:08 12K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 19:08 5.9K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 19:08 2.9K 
[   ]restinio-0.6.19-r1.apk2024-12-14 19:23 1.2K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 19:23 268K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 19:08 784K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 19:08 3.4K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 19:08 2.1K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 19:08 13K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 19:08 3.5K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 19:08 12K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 19:08 44K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 19:08 73K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 19:08 476K 
[   ]rinetd-0.73-r0.apk2024-10-25 19:08 13K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 19:08 16K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 19:08 1.7K 
[   ]ripasso-cursive-0.7.0-r0.apk2025-05-23 19:58 2.8M 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 12:22 227K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-12 21:20 5.9K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-12 21:20 2.4K 
[   ]rizin-0.6.3-r2.apk2025-05-12 10:01 2.6M 
[   ]rizin-cutter-2.3.2-r2.apk2024-10-25 19:08 2.0M 
[   ]rizin-cutter-dev-2.3.2-r2.apk2024-10-25 19:08 108K 
[   ]rizin-dev-0.6.3-r2.apk2025-05-12 10:01 298K 
[   ]rizin-doc-0.6.3-r2.apk2025-05-12 10:01 17K 
[   ]rizin-libs-0.6.3-r2.apk2025-05-12 10:01 3.7M 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 19:08 52K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 19:08 3.0K 
[   ]rke-1.4.3-r15.apk2025-05-12 07:06 20M 
[   ]rke-doc-1.4.3-r15.apk2025-05-12 07:06 3.0K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 19:08 134K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 19:08 18K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 19:08 19K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 19:08 96K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 19:08 124K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 19:08 4.7K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 19:08 8.8K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 19:08 5.0K 
[   ]roll-2.6.1-r0.apk2025-05-26 23:05 11K 
[   ]roll-bash-completion-2.6.1-r0.apk2025-05-26 23:05 1.9K 
[   ]roll-doc-2.6.1-r0.apk2025-05-26 23:05 11K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 19:08 66K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 19:08 119K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 19:08 533K 
[   ]rpi-imager-1.9.0-r0.apk2024-10-25 19:08 680K 
[   ]rpi-imager-doc-1.9.0-r0.apk2024-10-25 19:08 3.1K 
[   ]rpicam-apps-1.5.3-r1.apk2025-06-26 08:15 394K 
[   ]rpicam-apps-doc-1.5.3-r1.apk2025-06-26 08:15 2.4K 
[   ]rss-email-0.5.0-r0.apk2024-10-25 19:08 1.8M 
[   ]rss-email-doc-0.5.0-r0.apk2024-10-25 19:08 6.4K 
[   ]rsstail-2.2-r0.apk2025-02-13 07:17 8.0K 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 07:17 2.8K 
[   ]rt5-5.0.8-r0.apk2025-06-15 04:44 17M 
[   ]rt6-6.0.0-r0.apk2025-06-21 15:39 12M 
[   ]rtaudio-6.0.1-r0.apk2025-04-18 04:13 36K 
[   ]rtaudio-dev-6.0.1-r0.apk2025-04-18 04:13 63K 
[   ]rtaudio-doc-6.0.1-r0.apk2025-04-18 04:13 194K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 19:08 57K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 19:08 8.2K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 19:08 4.0M 
[   ]rtl8812au-src-5.6.4.2_git20250530-r0.apk2025-05-31 16:23 2.6M 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 19:08 27K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 19:08 14K 
[   ]rtptools-1.22-r2.apk2024-10-25 19:08 37K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 19:08 13K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 19:08 759K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.3K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:54 14K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:54 2.3K 
[   ]ruby-build-20250507-r0.apk2025-05-15 13:21 91K 
[   ]ruby-build-doc-20250507-r0.apk2025-05-15 13:21 4.8K 
[   ]ruby-build-runtime-20250507-r0.apk2025-05-15 13:21 1.3K 
[   ]ruby-byebug-11.1.3-r0.apk2025-05-25 23:55 120K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:56 89K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:56 2.3K 
[   ]ruby-dry-inflector-1.1.0-r1.apk2025-04-23 20:24 8.2K 
[   ]ruby-dry-inflector-doc-1.1.0-r1.apk2025-04-23 20:24 2.3K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 3.1K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.3K 
[   ]ruby-facter-4.9.0-r1.apk2025-04-23 20:24 218K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:58 22K 
[   ]ruby-hashdiff-1.1.1-r1.apk2025-04-23 20:24 8.4K 
[   ]ruby-hashdiff-doc-1.1.1-r1.apk2025-04-23 20:24 2.2K 
[   ]ruby-libguestfs-1.52.0-r3.apk2025-06-13 14:11 102K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-10 20:53 21K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-10 20:53 35K 
[   ]runst-0.1.7-r0.apk2024-10-25 19:08 1.5M 
[   ]runst-doc-0.1.7-r0.apk2024-10-25 19:08 7.7K 
[   ]ruri-3.8-r0.apk2025-06-24 02:43 103K 
[   ]ruri-doc-3.8-r0.apk2025-06-24 02:43 2.2K 
[   ]rust-script-0.35.0-r0.apk2024-10-27 16:30 828K 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 19:08 2.1M 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 19:08 2.2K 
[   ]rustic-0.9.3-r0.apk2024-10-25 19:08 5.4M 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 19:08 8.6K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 19:08 17K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 19:08 13K 
[   ]rustscan-2.3.0-r0.apk2024-10-25 19:08 1.2M 
[   ]ruuvi-prometheus-0.1.9-r2.apk2025-05-12 07:06 3.3M 
[   ]ruuvi-prometheus-openrc-0.1.9-r2.apk2025-05-12 07:06 1.7K 
[   ]rvlprog-0.91-r2.apk2024-10-25 19:08 24K 
[   ]ry-0.5.2-r1.apk2024-10-25 19:08 4.6K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 19:08 2.0K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 19:08 2.3K 
[   ]rygel-0.44.2-r0.apk2025-03-19 13:00 695K 
[   ]rygel-dev-0.44.2-r0.apk2025-03-19 13:00 43K 
[   ]rygel-doc-0.44.2-r0.apk2025-03-19 13:00 9.6K 
[   ]rygel-lang-0.44.2-r0.apk2025-03-19 13:00 567K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 19:08 48K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 19:08 8.5K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 19:08 39K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 19:08 9.6K 
[   ]s5cmd-2.3.0-r3.apk2025-05-12 07:06 5.1M 
[   ]saait-0.8-r0.apk2024-10-25 19:08 6.7K 
[   ]saait-doc-0.8-r0.apk2024-10-25 19:08 13K 
[   ]sacc-1.07-r0.apk2024-10-25 19:08 14K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 19:08 2.8K 
[   ]sandbar-0.1-r1.apk2025-05-14 18:17 14K 
[   ]satellite-1.0.0-r28.apk2025-05-12 07:06 2.2M 
[   ]satellite-doc-1.0.0-r28.apk2025-05-12 07:06 3.0K 
[   ]satellite-openrc-1.0.0-r28.apk2025-05-12 07:06 1.9K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-22 12:19 934M 
[   ]sbase-0_git20210730-r3.apk2024-10-25 19:08 109K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 19:08 58K 
[   ]sblg-0.5.11-r0.apk2024-10-25 19:08 33K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 19:08 1.3M 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 19:08 47K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 19:08 22K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 19:08 35K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 19:08 90K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 19:08 4.5K 
[   ]sc-controller-0.5.1-r0.apk2025-03-26 22:43 1.3M 
[   ]sc-controller-pyc-0.5.1-r0.apk2025-03-26 22:43 813K 
[   ]sc3-plugins-3.13.0-r2.apk2025-02-08 23:44 8.4M 
[   ]scalingo-1.30.0-r10.apk2025-05-12 07:06 5.2M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 19:08 223K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 19:08 1.6M 
[   ]schismtracker-20231029-r0.apk2024-10-25 19:08 345K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 19:08 6.2K 
[   ]scooper-1.3-r1.apk2024-10-25 19:08 424K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 19:08 2.6K 
[   ]scratch-1.4.0.7-r1.apk2024-10-25 19:08 39M 
[   ]scratch-doc-1.4.0.7-r1.apk2024-10-25 19:08 2.6K 
[   ]screen-message-0.29-r0.apk2025-04-13 11:54 9.6K 
[   ]screen-message-doc-0.29-r0.apk2025-04-13 11:54 3.6K 
[   ]screenkey-1.5-r6.apk2024-10-25 19:08 77K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 19:08 11K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 19:08 73K 
[   ]sct-2018.12.18-r1.apk2024-10-25 19:08 3.4K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 20:21 67K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 20:21 12K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 20:21 2.1K 
[   ]sdparm-1.12-r1.apk2024-10-25 19:08 133K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 19:08 19K 
[   ]seaweedfs-3.80-r5.apk2025-05-12 07:06 23M 
[   ]seaweedfs-doc-3.80-r5.apk2025-05-12 07:06 14K 
[   ]seaweedfs-openrc-3.80-r5.apk2025-05-12 07:06 1.9K 
[   ]secsipidx-1.3.2-r12.apk2025-05-12 07:06 2.7M 
[   ]secsipidx-dev-1.3.2-r12.apk2025-05-12 07:06 5.0M 
[   ]secsipidx-libs-1.3.2-r12.apk2025-05-12 07:06 2.4M 
[   ]sedutil-1.15.1-r1.apk2024-10-25 19:08 173K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 19:08 3.1K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 19:08 40K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 19:08 2.6K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-13 14:11 36K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-13 14:11 4.3K 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-13 14:11 2.2K 
[   ]serialdv-1.1.4-r1.apk2025-02-08 23:44 7.0K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-08 23:44 5.1K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-08 23:44 56K 
[   ]serie-0.4.6-r0.apk2025-05-11 11:57 725K 
[   ]serie-doc-0.4.6-r0.apk2025-05-11 11:57 6.6K 
[   ]setroot-2.0.2-r1.apk2024-10-25 19:08 11K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 19:08 4.4K 
[   ]sfizz-1.2.3-r0.apk2025-05-16 20:14 1.5M 
[   ]sfizz-dev-1.2.3-r0.apk2025-05-16 20:14 15K 
[   ]sfizz-doc-1.2.3-r0.apk2025-05-16 20:14 3.0K 
[   ]sflowtool-6.02-r0.apk2024-10-25 19:08 41K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 19:08 9.3K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 19:02 253K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 19:02 26K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 19:08 2.5M 
[   ]shc-4.0.3-r2.apk2024-10-25 19:08 18K 
[   ]shellinabox-2.21-r3.apk2024-10-25 19:08 115K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 19:08 19K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 19:08 3.5K 
[   ]shfm-0.4.2-r1.apk2024-10-25 19:08 4.0K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 19:08 6.1K 
[   ]shine-3.1.1-r0.apk2024-10-25 19:08 50K 
[   ]shipments-0.3.0-r0.apk2024-10-25 19:08 23K 
[   ]shntool-3.0.10-r5.apk2025-02-24 22:28 54K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-24 22:28 10K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 19:08 1.8K 
[   ]sigma-0.23.1-r1.apk2024-10-25 19:08 237K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 19:08 340K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 19:08 36K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 19:08 8.0K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 19:08 13K 
[   ]silc-client-1.1.11-r17.apk2024-10-25 19:08 740K 
[   ]silc-client-doc-1.1.11-r17.apk2024-10-25 19:08 83K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:14 2.0M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:14 404K 
[   ]simh-3.11.1-r1.apk2024-10-25 19:08 2.6M 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-13 12:27 447K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-13 12:27 478K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-13 12:27 482K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-13 12:27 485K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-13 12:27 483K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-13 12:27 504K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-13 12:27 526K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-13 12:27 509K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-13 12:27 547K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-13 12:27 528K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-13 12:27 544K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-13 12:27 484K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-13 12:27 490K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-13 12:27 14K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-13 12:27 537K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-13 12:27 517K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-13 12:27 449K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-13 12:27 487K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-13 12:27 492K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-13 12:27 450K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-13 12:27 451K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-13 12:27 536K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-13 12:27 549K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-13 12:27 518K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-13 12:27 525K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-13 12:27 533K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-13 12:27 551K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-13 12:27 545K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-13 12:27 538K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-13 12:27 550K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-13 12:27 524K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-13 12:27 535K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-13 12:27 531K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-13 12:27 542K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-13 12:27 530K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-13 12:27 541K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-13 12:27 520K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-13 12:27 527K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-13 12:27 534K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-13 12:27 546K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-13 12:27 529K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-13 12:27 540K 
[   ]simpleble-0.8.1-r0.apk2025-02-25 22:20 1.2K 
[   ]simpleble-dev-0.8.1-r0.apk2025-02-25 22:20 24K 
[   ]sing-box-1.11.13-r0.apk2025-06-20 04:10 11M 
[   ]sing-box-bash-completion-1.11.13-r0.apk2025-06-20 04:10 5.1K 
[   ]sing-box-fish-completion-1.11.13-r0.apk2025-06-20 04:10 4.4K 
[   ]sing-box-openrc-1.11.13-r0.apk2025-06-20 04:10 2.1K 
[   ]sing-box-zsh-completion-1.11.13-r0.apk2025-06-20 04:10 4.1K 
[   ]sing-geoip-20250612-r0.apk2025-06-20 04:10 2.3M 
[   ]sing-geosite-20250608120644-r0.apk2025-06-20 04:10 1.1M 
[   ]singular-4.4.1-r3.apk2025-06-13 04:56 9.5M 
[   ]singular-dev-4.4.1-r3.apk2025-06-13 04:56 364K 
[   ]singular-doc-4.4.1-r3.apk2025-06-13 04:56 1.3M 
[   ]singular-emacs-4.4.1-r3.apk2025-06-13 04:56 101K 
[   ]singular-static-4.4.1-r3.apk2025-06-13 04:56 4.8M 
[   ]sipexer-1.2.0-r3.apk2025-05-12 07:06 2.8M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 19:08 24K 
[   ]siril-1.2.6-r1.apk2025-06-20 09:31 2.5M 
[   ]siril-doc-1.2.6-r1.apk2025-06-20 09:31 18K 
[   ]siril-lang-1.2.6-r1.apk2025-06-20 09:31 1.6M 
[   ]sish-2.16.1-r5.apk2025-05-12 07:06 7.8M 
[   ]sish-openrc-2.16.1-r5.apk2025-05-12 07:06 1.9K 
[   ]slidge-0.1.3-r0.apk2024-10-25 19:08 145K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 19:08 4.5K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 19:08 30K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 19:08 1.5K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 19:08 38K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 19:08 2.3K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 19:08 285K 
[   ]sloccount-2.26-r3.apk2024-10-25 19:08 56K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 19:08 59K 
[   ]slurm-0.4.4-r0.apk2024-10-25 19:08 14K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 19:08 2.3K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:56 72K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:56 70K 
[   ]smile-2.10.1-r0.apk2025-04-11 18:19 721K 
[   ]smile-lang-2.10.1-r0.apk2025-04-11 18:19 25K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 12:24 132K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 12:24 25K 
[   ]snapper-0.12.2-r0.apk2025-05-11 11:57 871K 
[   ]snapper-bash-completion-0.12.2-r0.apk2025-05-11 11:57 3.1K 
[   ]snapper-dev-0.12.2-r0.apk2025-05-11 11:57 10K 
[   ]snapper-doc-0.12.2-r0.apk2025-05-11 11:57 25K 
[   ]snapper-lang-0.12.2-r0.apk2025-05-11 11:57 212K 
[   ]snapper-zsh-completion-0.12.2-r0.apk2025-05-11 11:57 3.6K 
[   ]snapraid-12.4-r0.apk2025-05-11 11:57 250K 
[   ]snapraid-doc-12.4-r0.apk2025-05-11 11:57 17K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 19:08 36K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 19:08 361K 
[   ]snippets-ls-0.0.4_git20240617-r5.apk2025-05-12 07:06 1.3M 
[   ]snore-0.3.1-r0.apk2024-10-25 19:08 4.7K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 19:08 3.0K 
[   ]so-0.4.10-r0.apk2024-10-25 19:08 1.8M 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 01:11 46K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 19:08 26K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 19:08 174K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 19:08 2.3K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 19:08 1.7K 
[   ]solanum-6.0.0-r0.apk2025-04-11 18:19 233K 
[   ]solanum-lang-6.0.0-r0.apk2025-04-11 18:19 47K 
[   ]solarus-engine-1.7.0-r1.apk2025-02-22 12:19 1.8M 
[   ]solarus-engine-doc-1.7.0-r1.apk2025-02-22 12:19 3.3K 
[   ]somebar-1.0.3-r0.apk2024-10-25 19:08 32K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 19:08 2.4K 
[   ]sonarr-4.0.15.2941-r0.apk2025-06-21 14:09 27M 
[   ]sonarr-openrc-4.0.15.2941-r0.apk2025-06-21 14:09 2.0K 
[   ]sonicradio-0.6.15-r1.apk2025-05-12 07:06 3.0M 
[   ]sopwith-2.5.0-r0.apk2024-10-25 19:08 45K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 19:08 15K 
[   ]sos-0.8-r31.apk2025-05-12 07:06 2.7M 
[   ]soundconverter-4.1.0-r0.apk2025-06-24 16:41 165K 
[   ]soundconverter-doc-4.1.0-r0.apk2025-06-24 16:41 4.7K 
[   ]soundconverter-lang-4.1.0-r0.apk2025-06-24 16:41 107K 
[   ]soundconverter-pyc-4.1.0-r0.apk2025-06-24 16:41 77K 
[   ]spacectl-1.12.0-r1.apk2025-05-12 07:06 5.6M 
[   ]spacectl-bash-completion-1.12.0-r1.apk2025-05-12 07:06 2.1K 
[   ]spacectl-doc-1.12.0-r1.apk2025-05-12 07:06 2.3K 
[   ]spacectl-fish-completion-1.12.0-r1.apk2025-05-12 07:06 7.1K 
[   ]spacectl-zsh-completion-1.12.0-r1.apk2025-05-12 07:06 1.8K 
[   ]spacer-0.3.9-r0.apk2025-05-26 13:06 877K 
[   ]spacer-doc-0.3.9-r0.apk2025-05-26 13:06 3.1K 
[   ]spampd-2.62-r0.apk2025-02-25 22:24 39K 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 22:24 2.0K 
[   ]spark-2.8.3-r1.apk2024-10-25 19:08 29M 
[   ]speedcrunch-0.12-r3.apk2024-10-25 19:08 1.1M 
[   ]speedtest-5.2.5-r1.apk2024-10-25 19:08 253K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 19:08 18K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 19:08 13K 
[   ]speedtest-go-1.1.5-r15.apk2025-05-12 07:06 5.3M 
[   ]speedtest-go-doc-1.1.5-r15.apk2025-05-12 07:06 4.5K 
[   ]speedtest-go-openrc-1.1.5-r15.apk2025-05-12 07:06 1.7K 
[   ]speedtest_exporter-0.3.2-r15.apk2025-05-12 07:06 3.8M 
[   ]speedtest_exporter-openrc-0.3.2-r15.apk2025-05-12 07:06 1.9K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 19:08 438K 
[   ]spike-1.1.0-r0.apk2024-10-25 19:08 920K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 05:09 47K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 19:08 34K 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 19:08 1.7M 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 19:08 39K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 19:08 195K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 19:08 340K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 19:08 4.6K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-11 11:57 31K 
[   ]spvm-errno-0.093-r0.apk2025-01-25 07:04 15K 
[   ]spvm-errno-doc-0.093-r0.apk2025-01-25 07:04 5.9K 
[   ]spvm-math-1.006-r0.apk2025-02-04 17:20 18K 
[   ]spvm-math-doc-1.006-r0.apk2025-02-04 17:20 6.8K 
[   ]spvm-mime-base64-1.003-r0.apk2025-02-04 17:20 13K 
[   ]spvm-mime-base64-doc-1.003-r0.apk2025-02-04 17:20 5.3K 
[   ]spvm-thread-0.003-r0.apk2025-02-04 17:20 10K 
[   ]spvm-thread-doc-0.003-r0.apk2025-02-04 17:20 5.8K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 19:08 14K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 19:08 3.3K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 19:08 81K 
[   ]sqlmap-1.9.4-r0.apk2025-04-14 00:06 6.8M 
[   ]sqlmap-pyc-1.9.4-r0.apk2025-04-14 00:06 1.2M 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 19:08 20K 
[   ]sqruff-0.25.26-r0.apk2025-04-13 20:34 1.9M 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-13 20:34 8.6K 
[   ]squeak-vm-4.10.2.2614-r1.apk2024-10-25 19:08 389K 
[   ]squeak-vm-doc-4.10.2.2614-r1.apk2024-10-25 19:08 12K 
[   ]srain-1.8.1-r0.apk2025-06-26 18:45 144K 
[   ]srain-lang-1.8.1-r0.apk2025-06-26 18:45 35K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 19:08 96K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 19:08 18K 
[   ]ssh-cert-authority-2.0.0-r26.apk2025-05-12 07:06 4.8M 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 19:08 7.9K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 19:08 2.1K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 19:08 26K 
[   ]sshs-4.7.2-r0.apk2025-04-23 22:28 639K 
[   ]sshsrv-1.0-r12.apk2025-05-12 07:06 963K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 19:08 62K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 19:08 8.5K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 19:08 101K 
[   ]sssd-2.11.0-r0.apk2025-06-06 08:59 2.0M 
[   ]sssd-dev-2.11.0-r0.apk2025-06-06 08:59 15K 
[   ]sssd-openrc-2.11.0-r0.apk2025-06-06 08:59 1.7K 
[   ]ssss-0.5.7-r0.apk2024-10-25 19:08 11K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 19:08 3.3K 
[   ]sstp-client-1.0.20-r2.apk2025-05-30 10:24 34K 
[   ]sstp-client-dev-1.0.20-r2.apk2025-05-30 10:24 5.1K 
[   ]sstp-client-doc-1.0.20-r2.apk2025-05-30 10:24 4.9K 
[   ]stalwart-cli-0.12.5-r0.apk2025-06-25 19:57 2.2M 
[   ]stalwart-mail-0.12.5-r0.apk2025-06-25 19:57 16M 
[   ]stalwart-mail-openrc-0.12.5-r0.apk2025-06-25 19:57 2.1K 
[   ]stardict-3.0.6-r6.apk2024-10-25 19:08 894K 
[   ]stardict-doc-3.0.6-r6.apk2024-10-25 19:08 2.2K 
[   ]stardict-help-3.0.6-r6.apk2024-10-25 19:08 3.4M 
[   ]stardict-lang-3.0.6-r6.apk2024-10-25 19:08 290K 
[   ]starfighter-2.4-r0.apk2024-10-25 19:08 48M 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 19:08 22K 
[   ]startup-2.0.3-r5.apk2024-10-25 19:08 461K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 19:08 34K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 19:08 34K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 19:08 5.8K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 19:08 48K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 19:08 5.4K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 19:08 17K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 19:08 13K 
[   ]stayrtr-0.6.2-r4.apk2025-05-12 17:13 10M 
[   ]stayrtr-openrc-0.6.2-r4.apk2025-05-12 17:13 2.0K 
[   ]steamguard-cli-0.9.6-r1.apk2025-05-14 18:17 2.0M 
[   ]steamguard-cli-bash-completion-0.9.6-r1.apk2025-05-14 18:17 2.5K 
[   ]steamguard-cli-zsh-completion-0.9.6-r1.apk2025-05-14 18:17 3.5K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 19:08 123K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 19:08 14K 
[   ]stern-1.32.0-r4.apk2025-05-12 07:06 18M 
[   ]stern-bash-completion-1.32.0-r4.apk2025-05-12 07:06 5.8K 
[   ]stern-fish-completion-1.32.0-r4.apk2025-05-12 07:06 4.3K 
[   ]stern-zsh-completion-1.32.0-r4.apk2025-05-12 07:06 4.0K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 19:08 58K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 19:08 18K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 19:08 1.9K 
[   ]stockfish-17-r0.apk2025-03-03 22:42 62M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 19:08 31M 
[   ]sturmreader-3.7.2-r1.apk2025-01-29 19:44 1.0M 
[   ]sturmreader-lang-3.7.2-r1.apk2025-01-29 19:44 39K 
[   ]stw-0.3-r0.apk2024-10-25 19:08 6.9K 
[   ]stw-doc-0.3-r0.apk2024-10-25 19:08 2.5K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 19:08 8.7K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 19:08 14K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 19:08 190K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 19:08 302K 
[   ]subliminal-2.2.1-r1.apk2025-05-14 18:17 68K 
[   ]subliminal-pyc-2.2.1-r1.apk2025-05-14 18:17 135K 
[   ]sudo-ldap-1.9.14-r1.apk2024-10-25 19:08 692K 
[   ]supercollider-3.13.0-r6.apk2025-02-08 23:44 7.1M 
[   ]supercollider-dev-3.13.0-r6.apk2025-02-08 23:44 39K 
[   ]supermin-5.2.2-r2.apk2024-10-25 19:08 490K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 19:08 9.4K 
[   ]supersonik-0.1.0-r2.apk2025-04-13 13:17 1.0M 
[   ]surf-2.1-r3.apk2024-10-25 19:08 20K 
[   ]surf-doc-2.1-r3.apk2024-10-25 19:08 4.6K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 19:08 79K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 19:08 18K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-11 11:57 2.9M 
[   ]svgbob-0.7.6-r0.apk2025-02-04 17:20 404K 
[   ]svls-0.2.12-r0.apk2024-10-25 19:08 3.1M 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 19:08 2.2K 
[   ]swaks-20240103.0-r0.apk2024-10-25 19:08 66K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 19:08 50K 
[   ]swappy-1.5.1-r0.apk2024-10-25 19:08 26K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 19:08 3.7K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 19:08 3.6K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 19:08 8.9K 
[   ]swayhide-0.2.1-r2.apk2024-10-25 19:08 224K 
[   ]swhkd-1.2.1-r0.apk2024-10-25 19:08 938K 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 19:08 6.2K 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:08 4.5M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:08 2.1M 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:08 22K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:08 922K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:08 1.0M 
[   ]sxcs-1.1.0-r0.apk2024-10-25 19:08 8.1K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 19:08 2.6K 
[   ]sydbox-3.21.3-r0.apk2024-10-25 19:08 1.2M 
[   ]sydbox-doc-3.21.3-r0.apk2024-10-25 19:08 84K 
[   ]sydbox-utils-3.21.3-r0.apk2024-10-25 19:08 5.3M 
[   ]sydbox-vim-3.21.3-r0.apk2024-10-25 19:08 5.2K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 19:08 7.5K 
[   ]symbiyosys-0.36-r0.apk2024-10-25 19:08 38K 
[   ]symengine-0.12.0-r0.apk2024-10-25 19:08 3.2M 
[   ]symlinks-1.4.3-r0.apk2025-04-22 21:24 5.7K 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-22 21:24 3.9K 
[   ]sympow-2.023.7-r2.apk2025-05-11 11:57 1.8M 
[   ]sympow-doc-2.023.7-r2.apk2025-05-11 11:57 3.1K 
[   ]synapse-bt-1.0-r4.apk2024-10-25 19:08 1.0M 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 19:08 901K 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 19:08 1.8K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 19:08 440K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 19:08 2.2K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 19:08 221K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 19:08 8.0K 
[   ]tabby-3.1-r1.apk2024-10-25 19:08 26K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 19:08 2.2K 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 19:08 83K 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 19:08 1.9M 
[   ]tailspin-5.4.2-r0.apk2025-05-14 09:43 1.0M 
[   ]tailspin-bash-completion-5.4.2-r0.apk2025-05-14 09:43 2.2K 
[   ]tailspin-doc-5.4.2-r0.apk2025-05-14 09:43 3.0K 
[   ]tailspin-fish-completion-5.4.2-r0.apk2025-05-14 09:43 2.1K 
[   ]tailspin-zsh-completion-5.4.2-r0.apk2025-05-14 09:43 2.5K 
[   ]tang-15-r0.apk2025-01-25 07:04 14K 
[   ]tang-dbg-15-r0.apk2025-01-25 07:04 32K 
[   ]tang-doc-15-r0.apk2025-01-25 07:04 21K 
[   ]tang-openrc-15-r0.apk2025-01-25 07:04 1.9K 
[   ]tangara-companion-0.4.3-r0.apk2024-12-17 21:07 971K 
[   ]tangctl-0_git20241007-r4.apk2025-05-12 07:06 2.6M 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 11:52 20K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 11:52 11K 
[   ]tanka-0.32.0-r0.apk2025-05-16 08:33 4.2M 
[   ]tartube-2.5.0-r1.apk2024-10-25 19:08 2.7M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 19:08 1.1M 
[   ]taskcafe-0.3.6-r13.apk2025-05-12 07:06 13M 
[   ]taskcafe-openrc-0.3.6-r13.apk2025-05-12 07:06 1.8K 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 10:25 1.0M 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 10:25 2.0K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 10:25 3.9K 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 10:25 1.7K 
[   ]tauri-cli-2.4.0-r0.apk2025-04-01 21:54 7.0M 
[   ]tayga-0.9.5-r0.apk2025-06-20 07:58 24K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 07:58 6.1K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 19:08 27K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 19:08 38K 
[   ]tcl9-9.0.1-r0.apk2024-12-22 06:12 1.7M 
[   ]tcl9-dev-9.0.1-r0.apk2024-12-22 06:12 183K 
[   ]tcl9-doc-9.0.1-r0.apk2024-12-22 06:12 1.4M 
[   ]tdrop-0.5.0-r0.apk2024-10-25 19:08 12K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 19:08 8.9K 
[   ]tealdeer-1.7.2-r0.apk2025-03-23 13:19 801K 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-23 13:19 2.0K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-23 13:19 2.2K 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-23 13:19 2.3K 
[   ]teapot-tools-0.4.2-r2.apk2024-10-25 19:08 1.8M 
[   ]telegram-bot-api-9.0-r0.apk2025-04-14 01:10 6.5M 
[   ]telegram-tdlib-1.8.47-r0.apk2025-04-14 01:06 6.4M 
[   ]telegram-tdlib-dev-1.8.47-r0.apk2025-04-14 01:06 182K 
[   ]telegram-tdlib-static-1.8.47-r0.apk2025-04-14 01:06 19M 
[   ]templ-0.3.850-r2.apk2025-05-12 07:06 4.6M 
[   ]tenv-4.7.6-r0.apk2025-06-11 14:23 9.3M 
[   ]tenv-bash-completion-4.7.6-r0.apk2025-06-11 14:23 6.1K 
[   ]tenv-fish-completion-4.7.6-r0.apk2025-06-11 14:23 4.3K 
[   ]tenv-zsh-completion-4.7.6-r0.apk2025-06-11 14:23 4.0K 
[   ]tere-1.6.0-r0.apk2024-10-25 19:08 940K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 19:08 14K 
[   ]termbox-1.1.2-r1.apk2024-10-25 19:08 10K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 19:08 5.7K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 19:08 11K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 19:08 1.5K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 19:08 6.8K 
[   ]terminology-1.14.0-r0.apk2025-05-27 22:49 2.7M 
[   ]terminology-doc-1.14.0-r0.apk2025-05-27 22:49 9.0K 
[   ]terminology-lang-1.14.0-r0.apk2025-05-27 22:49 143K 
[   ]termusic-mpv-0.7.11-r0.apk2024-10-25 19:08 5.4M 
[   ]texlab-5.19.0-r0.apk2024-10-25 19:08 8.4M 
[   ]tfupdate-0.8.2-r6.apk2025-05-12 07:06 4.7M 
[   ]tfupdate-doc-0.8.2-r6.apk2025-05-12 07:06 2.3K 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 19:08 6.7M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 19:08 6.3M 
[   ]thefuck-3.32-r5.apk2024-10-25 19:08 83K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 19:08 156K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 19:08 28M 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 19:08 2.3K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 19:08 2.0K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 19:08 39K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 19:08 2.3K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:22 15K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:22 1.6K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:22 28K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 19:08 11K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 19:08 20K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 19:08 9.1K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 19:08 25K 
[   ]tick-1.2.2-r0.apk2025-01-14 01:26 10K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 01:26 5.5K 
[   ]ticker-4.8.1-r2.apk2025-05-12 07:06 4.0M 
[   ]ticker-bash-completion-4.8.1-r2.apk2025-05-12 07:06 4.6K 
[   ]ticker-fish-completion-4.8.1-r2.apk2025-05-12 07:06 3.9K 
[   ]ticker-zsh-completion-4.8.1-r2.apk2025-05-12 07:06 3.7K 
[   ]timeshift-24.06.6-r0.apk2025-04-23 22:28 441K 
[   ]timeshift-doc-24.06.6-r0.apk2025-04-23 22:28 3.2K 
[   ]timeshift-lang-24.06.6-r0.apk2025-04-23 22:28 917K 
[   ]timew-1.4.3-r1.apk2024-10-25 19:08 231K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 19:08 2.8K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 19:08 53K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 19:08 242K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 19:08 22K 
[   ]timoni-0.23.0-r5.apk2025-05-12 07:06 23M 
[   ]timoni-bash-completion-0.23.0-r5.apk2025-05-12 07:06 8.0K 
[   ]timoni-doc-0.23.0-r5.apk2025-05-12 07:06 338K 
[   ]timoni-fish-completion-0.23.0-r5.apk2025-05-12 07:06 4.3K 
[   ]timoni-zsh-completion-0.23.0-r5.apk2025-05-12 07:06 4.0K 
[   ]tintin-2.02.31-r0.apk2024-10-25 19:08 1.8M 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:35 98K 
[   ]tinygltf-2.9.6-r0.apk2025-06-08 16:33 130K 
[   ]tinygltf-dev-2.9.6-r0.apk2025-06-08 16:33 57K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 19:08 49K 
[   ]tk9-9.0.1-r0.apk2024-12-22 06:12 774K 
[   ]tk9-dev-9.0.1-r0.apk2024-12-22 06:12 81K 
[   ]tk9-doc-9.0.1-r0.apk2024-12-22 06:12 1.3M 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.5K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tmate-2.4.0-r4.apk2024-10-25 19:08 218K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 19:08 72K 
[   ]tmpl-0.4.0-r11.apk2025-05-12 07:06 2.6M 
[   ]tmpl-doc-0.4.0-r11.apk2025-05-12 07:06 2.3K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 19:08 7.0K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 19:08 3.2K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 19:08 14K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 19:08 8.4K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 19:08 20K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 19:08 3.9K 
[   ]tnef-1.4.18-r0.apk2024-10-25 19:08 24K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 19:08 4.2K 
[   ]toapk-1.0-r0.apk2024-10-25 19:08 10K 
[   ]today-6.2.1-r0.apk2025-06-20 04:10 3.2K 
[   ]today-doc-6.2.1-r0.apk2025-06-20 04:10 3.3K 
[   ]tofutf-0.10.0-r5.apk2025-05-12 07:06 1.3K 
[   ]tofutf-agent-0.10.0-r5.apk2025-05-12 07:06 8.9M 
[   ]tofutf-agent-openrc-0.10.0-r5.apk2025-05-12 07:06 2.0K 
[   ]tofutf-cli-0.10.0-r5.apk2025-05-12 07:06 8.2M 
[   ]tofutf-server-0.10.0-r5.apk2025-05-12 07:06 13M 
[   ]tofutf-server-openrc-0.10.0-r5.apk2025-05-12 07:06 2.0K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 19:08 319K 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 19:08 3.3K 
[   ]topgit-0.19.13-r1.apk2024-10-25 19:08 127K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 19:08 4.0K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 19:08 73K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 19:08 352K 
[   ]toss-1.1-r1.apk2025-05-29 11:58 10K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 09:33 13K 
[   ]toybox-0.8.11-r1.apk2024-10-25 19:08 269K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 19:08 122K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 19:08 1.9K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 19:08 69K 
[   ]tqm-1.13.0-r0.apk2025-06-10 03:14 4.5M 
[   ]trace-cmd-3.3.1-r1.apk2025-01-25 07:04 149K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-25 07:04 3.3K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-25 07:04 516K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-25 07:04 171K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 19:08 130K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 19:08 4.2K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 19:08 106K 
[   ]trantor-1.5.18-r0.apk2024-10-25 19:08 196K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 19:08 34K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 19:08 2.6K 
[   ]tre-0.8.0-r2.apk2024-10-25 19:08 22K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 19:08 5.2K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 19:08 23K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 19:08 69K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 19:08 2.3K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 19:08 22K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-10 22:54 100K 
[   ]tree-sitter-git-commit-0_git20211225-r3.apk2025-02-26 17:29 13K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 19:08 9.5K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-10 22:54 4.9K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 19:08 43K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 19:08 33K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 15:53 283K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-20 04:10 22K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 19:08 13K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 19:08 42K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 19:08 82K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 19:08 2.3K 
[   ]tree-sitter-yaml-0.7.1-r0.apk2025-05-23 05:05 36K 
[   ]tree-sitter-yaml-doc-0.7.1-r0.apk2025-05-23 05:05 2.3K 
[   ]tremc-0.9.3-r1.apk2025-05-14 18:17 48K 
[   ]tremc-bash-completion-0.9.3-r1.apk2025-05-14 18:17 1.9K 
[   ]tremc-doc-0.9.3-r1.apk2025-05-14 18:17 2.8K 
[   ]tremc-zsh-completion-0.9.3-r1.apk2025-05-14 18:17 1.8K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 15:39 278K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 15:39 352M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 15:39 28K 
[   ]trippy-0.13.0-r0.apk2025-05-19 08:28 2.0M 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-19 08:28 3.2K 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-19 08:28 4.9K 
[   ]trivy-0.63.0-r0.apk2025-06-03 14:29 63M 
[   ]tsung-1.8.0-r3.apk2025-06-13 05:36 722K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 19:09 24K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 19:09 141K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 19:09 8.1K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 19:09 52K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 19:09 93K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 19:09 7.8K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 19:09 3.1K 
[   ]tty-proxy-0.0.2-r28.apk2025-05-12 07:06 2.5M 
[   ]tty-share-2.4.0-r18.apk2025-05-12 07:06 3.7M 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:45 535K 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 19:09 1.7M 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 19:09 6.9K 
[   ]tuned-2.25.1-r2.apk2025-05-28 10:42 159K 
[   ]tuned-bash-completion-2.25.1-r2.apk2025-05-28 10:42 1.9K 
[   ]tuned-doc-2.25.1-r2.apk2025-05-28 10:42 79K 
[   ]tuned-gtk-2.25.1-r2.apk2025-05-28 10:42 22K 
[   ]tuned-openrc-2.25.1-r2.apk2025-05-28 10:42 1.7K 
[   ]tuned-ppd-2.25.1-r2.apk2025-05-28 10:42 3.7K 
[   ]tuned-ppd-openrc-2.25.1-r2.apk2025-05-28 10:42 1.8K 
[   ]tuned-profiles-2.25.1-r2.apk2025-05-28 10:42 8.2K 
[   ]tuned-profiles-compat-2.25.1-r2.apk2025-05-28 10:42 3.6K 
[   ]tuned-pyc-2.25.1-r2.apk2025-05-28 10:42 333K 
[   ]tuned-utils-2.25.1-r2.apk2025-05-28 10:42 11K 
[   ]tup-0.7.11-r1.apk2025-06-20 04:10 209K 
[   ]tup-doc-0.7.11-r1.apk2025-06-20 04:10 21K 
[   ]tup-vim-0.7.11-r1.apk2025-06-20 04:10 2.5K 
[   ]tuptime-5.2.4-r1.apk2024-11-28 22:44 14K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-28 22:44 3.7K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-28 22:44 1.7K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 12:11 514K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 12:11 11K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 12:11 2.0K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 19:09 36K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 19:09 5.7K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 19:09 1.8K 
[   ]turntable-0.3.3-r0.apk2025-05-27 13:01 166K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 13:01 12K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 19:09 57K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 19:09 17K 
[   ]twiggy-0.6.0-r3.apk2024-10-25 19:09 688K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.3M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.5K 
[   ]typobuster-1.0.0-r0.apk2025-04-23 22:28 129K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 13:45 458K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 19:09 89K 
[   ]uasm-2.56.2-r0.apk2024-10-25 19:09 259K 
[   ]ubase-20200605-r3.apk2024-10-25 19:09 42K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 19:09 21K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 19:09 16KUbuntu Linux
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 19:09 2.3KUbuntu Linux
[   ]uclient-20241022-r0.apk2025-05-29 23:50 15K 
[   ]uclient-dev-20241022-r0.apk2025-05-29 23:50 3.5K 
[   ]uclient-fetch-20241022-r0.apk2025-05-29 23:50 11K 
[   ]udpt-3.1.2-r0.apk2024-10-25 19:09 621K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 19:09 1.8K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 12:34 64K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 12:34 64K 
[   ]undock-0.10.0-r0.apk2025-05-16 14:37 9.8M 
[   ]unit-php81-1.34.2-r1.apk2025-04-24 00:44 27K 
[   ]up-0.4-r29.apk2025-05-12 07:06 1.2M 
[   ]upterm-0.14.3-r5.apk2025-05-12 07:06 5.8M 
[   ]upterm-bash-completion-0.14.3-r5.apk2025-05-12 07:06 5.5K 
[   ]upterm-doc-0.14.3-r5.apk2025-05-12 07:06 6.4K 
[   ]upterm-server-0.14.3-r5.apk2025-05-12 07:06 5.7M 
[   ]upterm-server-openrc-0.14.3-r5.apk2025-05-12 07:06 1.9K 
[   ]upterm-zsh-completion-0.14.3-r5.apk2025-05-12 07:06 4.0K 
[   ]uranium-5.2.2-r3.apk2024-10-25 19:09 596K 
[   ]urlwatch-2.28-r2.apk2024-10-25 19:09 49K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 19:09 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 19:09 101K 
[   ]usbmuxd-1.1.1-r9.apk2025-04-18 14:39 31K 
[   ]usbmuxd-doc-1.1.1-r9.apk2025-04-18 14:39 3.0K 
[   ]usbmuxd-udev-1.1.1-r9.apk2025-04-18 14:39 2.0K 
[   ]usbtop-1.0-r0.apk2025-04-12 12:26 12K 
[   ]ustr-1.0.4-r1.apk2024-10-25 19:09 44K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 19:09 61K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 19:09 91K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 19:09 97K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 19:09 126K 
[   ]utop-2.9.1-r4.apk2024-10-25 19:09 13M 
[   ]utop-common-2.9.1-r4.apk2024-10-25 19:09 2.0K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 19:09 5.7K 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 19:09 13K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 19:09 13M 
[   ]uucp-1.07-r6.apk2024-10-25 19:09 420K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 19:09 118K 
[   ]uxn-1.0-r0.apk2024-10-25 19:09 32K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 19:09 4.2K 
[   ]vals-0.41.2-r0.apk2025-06-20 04:10 28M 
[   ]varnish-modules-0.24.0-r0.apk2024-10-25 19:09 39K 
[   ]varnish-modules-doc-0.24.0-r0.apk2024-10-25 19:09 21K 
[   ]vbindiff-3.0_beta5-r2.apk2025-05-25 07:49 18K 
[   ]vbindiff-doc-3.0_beta5-r2.apk2025-05-25 07:49 5.5K 
[   ]vcdimager-2.0.1-r5.apk2025-01-25 07:04 450K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-25 07:04 113K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-25 07:04 74K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 19:09 8.8K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 19:09 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 19:09 27K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 19:09 2.9K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 19:09 35K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 19:09 1.8K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 19:09 58K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 19:09 1.6K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 19:09 1.7K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 19:09 281K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 19:09 2.3K 
[   ]vera++-1.3.0-r10.apk2024-10-25 19:09 232K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 19:09 25K 
[   ]vice-3.9-r0.apk2025-02-24 22:28 12M 
[   ]vice-doc-3.9-r0.apk2025-02-24 22:28 2.2M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 19:09 2.8M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 19:09 24K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 19:09 1.9M 
[   ]video-trimmer-0.9.0-r0.apk2024-10-25 19:09 711K 
[   ]video-trimmer-lang-0.9.0-r0.apk2024-10-25 19:09 91K 
[   ]viewnior-1.8-r1.apk2024-10-25 19:09 67K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 19:09 2.1K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 19:09 85K 
[   ]vile-9.8z_p1-r0.apk2025-03-20 01:31 708K 
[   ]vile-common-9.8z_p1-r0.apk2025-03-20 01:31 353K 
[   ]vile-doc-9.8z_p1-r0.apk2025-03-20 01:31 357K 
[   ]vim-airline-0.11-r0.apk2024-10-25 19:09 86K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 19:09 12K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:00 67K 
[   ]vim-rust-305-r0.apk2024-10-25 19:09 20K 
[   ]virtctl-1.5.1-r0.apk2025-05-18 21:51 15M 
[   ]virtctl-bash-completion-1.5.1-r0.apk2025-05-18 21:51 5.1K 
[   ]virtctl-fish-completion-1.5.1-r0.apk2025-05-18 21:51 4.3K 
[   ]virtctl-zsh-completion-1.5.1-r0.apk2025-05-18 21:51 4.0K 
[   ]virter-0.29.0-r0.apk2025-05-16 07:14 5.6M 
[   ]virter-bash-completion-0.29.0-r0.apk2025-05-16 07:14 6.1K 
[   ]virter-doc-0.29.0-r0.apk2025-05-16 07:14 15K 
[   ]virter-fish-completion-0.29.0-r0.apk2025-05-16 07:14 4.3K 
[   ]virter-zsh-completion-0.29.0-r0.apk2025-05-16 07:14 4.1K 
[   ]virtualgl-3.1.3-r0.apk2025-04-22 21:24 1.6M 
[   ]virtualgl-dev-3.1.3-r0.apk2025-04-22 21:24 6.1K 
[   ]virtualgl-doc-3.1.3-r0.apk2025-04-22 21:24 314K 
[   ]visidata-3.2-r0.apk2025-06-20 11:28 421K 
[   ]visidata-doc-3.2-r0.apk2025-06-20 11:28 18K 
[   ]visidata-pyc-3.2-r0.apk2025-06-20 11:28 841K 
[   ]visidata-zsh-completion-3.2-r0.apk2025-06-20 11:28 9.3K 
[   ]vit-2.3.2-r1.apk2024-10-25 19:09 80K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 19:09 151K 
[   ]vixl-7.0.0-r0.apk2024-10-25 19:09 290K 
[   ]vixl-dev-7.0.0-r0.apk2024-10-25 19:09 115K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 19:09 344K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 19:09 3.1K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 19:09 12K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 19:09 8.0K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 19:09 1.6M 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 19:09 40K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 19:09 3.8K 
[   ]vym-2.9.26-r0.apk2024-10-25 19:09 2.7M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 19:09 3.4M 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 17:31 134K 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 17:31 4.2K 
[   ]wabt-1.0.37-r0.apk2025-04-06 21:38 3.6M 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 21:38 13K 
[   ]waifu2x-converter-cpp-5.3.4-r8.apk2025-01-16 22:06 12M 
[   ]wakeonlan-0.42-r0.apk2024-10-25 19:09 4.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 19:09 7.5K 
[   ]walk-1.13.0-r3.apk2025-05-12 07:06 3.0M 
[   ]walk-doc-1.13.0-r3.apk2025-05-12 07:06 2.2K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 19:09 5.0K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 19:09 7.8K 
[   ]warpinator-1.8.8-r3.apk2025-06-11 17:31 216K 
[   ]warpinator-lang-1.8.8-r3.apk2025-06-11 17:31 222K 
[   ]warpinator-nemo-1.8.8-r3.apk2025-06-11 17:31 4.2K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 19:09 1.0M 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 19:09 6.6K 
[   ]watchdog-5.16-r2.apk2024-10-25 19:09 38K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 19:09 14K 
[   ]watchmate-0.5.3-r0.apk2025-05-16 17:20 2.0M 
[   ]watershot-0.2.0-r0.apk2024-10-25 19:09 1.4M 
[   ]way-displays-1.14.0-r0.apk2025-04-22 21:24 88K 
[   ]way-displays-doc-1.14.0-r0.apk2025-04-22 21:24 4.6K 
[   ]way-secure-0.2.0-r0.apk2025-04-18 22:10 180K 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-18 22:10 3.0K 
[   ]waycheck-1.6.0-r0.apk2025-02-23 22:59 40K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:17 2.2M 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:17 129K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:17 3.6K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:17 502K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 19:09 260K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 19:09 42K 
[   ]wayqt-0.3.0-r0.apk2025-04-22 21:24 92K 
[   ]wayqt-dev-0.3.0-r0.apk2025-04-22 21:24 18K 
[   ]wbg-1.2.0-r1.apk2025-05-14 18:17 34K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 19:09 9.8K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 19:09 2.7K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 19:09 1.6K 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:17 348K 
[   ]webhookd-1.20.2-r0.apk2025-06-20 04:10 3.2M 
[   ]webhookd-doc-1.20.2-r0.apk2025-06-20 04:10 2.3K 
[   ]webhookd-openrc-1.20.2-r0.apk2025-06-20 04:10 2.3K 
[   ]webtunnel-0.0.2-r1.apk2025-05-12 07:06 3.6M 
[   ]weggli-0.2.4-r1.apk2024-10-25 19:09 747K 
[   ]welle-cli-2.7-r0.apk2025-04-07 18:04 278K 
[   ]welle-io-2.7-r0.apk2025-04-07 18:04 383K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 18:04 4.0K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:17 98K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:17 16K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:17 6.0M 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:17 1.6K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:17 3.1K 
[   ]wgcf-2.2.26-r0.apk2025-05-16 14:52 3.9M 
[   ]wgcf-bash-completion-2.2.26-r0.apk2025-05-16 14:52 6.1K 
[   ]wgcf-fish-completion-2.2.26-r0.apk2025-05-16 14:52 4.3K 
[   ]wgcf-zsh-completion-2.2.26-r0.apk2025-05-16 14:52 4.0K 
[   ]whatsie-4.16.3-r0.apk2025-01-12 13:00 15M 
[   ]whatsie-doc-4.16.3-r0.apk2025-01-12 13:00 2.2K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 19:09 1.4M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 19:09 4.6K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 19:09 22K 
[   ]wiringx-0_git20240317-r2.apk2025-03-03 16:22 48K 
[   ]wiringx-dev-0_git20240317-r2.apk2025-03-03 16:22 66K 
[   ]witchery-0.0.3-r2.apk2024-10-25 19:09 3.2K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 19:09 146K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 19:09 2.1K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 19:09 3.4K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 19:09 2.9K 
[   ]wl-gammarelay-0.1.1-r14.apk2025-05-12 07:06 1.6M 
[   ]wl-ime-type-0.1.1-r0.apk2025-04-13 10:24 5.0K 
[   ]wl-ime-type-doc-0.1.1-r0.apk2025-04-13 10:24 2.2K 
[   ]wl-kbptr-0.3.0-r1.apk2025-06-02 20:52 21K 
[   ]wl-kbptr-doc-0.3.0-r1.apk2025-06-02 20:52 4.0K 
[   ]wl-kbptr-full-0.3.0-r1.apk2025-06-02 20:52 26K 
[   ]wl-screenrec-0.1.7-r0.apk2025-04-13 10:24 502K 
[   ]wl-screenrec-bash-completion-0.1.7-r0.apk2025-04-13 10:24 2.4K 
[   ]wl-screenrec-doc-0.1.7-r0.apk2025-04-13 10:24 9.5K 
[   ]wl-screenrec-fish-completion-0.1.7-r0.apk2025-04-13 10:24 3.2K 
[   ]wl-screenrec-zsh-completion-0.1.7-r0.apk2025-04-13 10:24 3.7K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 19:09 11K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 19:09 14K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 19:09 3.3K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 19:09 195K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 19:09 1.0M 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 19:09 61K 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 19:09 244K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 19:09 1.2M 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 19:09 70K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 13:47 295K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 13:47 1.3M 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 13:47 71K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-24 05:46 326K 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-24 05:46 1.5M 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-24 05:46 77K 
[   ]wmctrl-1.07-r1.apk2024-10-25 19:09 13K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 19:09 5.1K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 19:09 2.1M 
[   ]wok-3.0.0-r6.apk2024-10-25 19:09 157K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 19:09 3.7K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 19:09 16K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 19:09 119K 
[   ]wol-0.7.1-r3.apk2024-10-25 19:09 22K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 19:09 5.5K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 19:09 8.2K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 19:09 120K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 19:09 162K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 19:09 8.7K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 19:09 2.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 19:09 35K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 19:09 8.2K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 19:09 898K 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 19:09 1.7K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 19:09 12K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 19:09 19K 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 19:09 3.7K 
[   ]wtfutil-0.43.0-r13.apk2025-05-12 07:06 19M 
[   ]x11docker-7.6.0-r1.apk2024-10-25 19:09 113K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 19:09 9.4K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:36 63K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:36 17K 
[   ]xcape-1.2-r1.apk2025-05-14 18:17 6.2K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 18:17 3.1K 
[   ]xcompmgr-1.1.9-r0.apk2024-10-25 19:09 14K 
[   ]xcompmgr-doc-1.1.9-r0.apk2024-10-25 19:09 2.6K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 19:09 70K 
[   ]xed-3.8.2-r0.apk2025-02-05 22:11 1.0M 
[   ]xed-dev-3.8.2-r0.apk2025-02-05 22:11 14K 
[   ]xed-doc-3.8.2-r0.apk2025-02-05 22:11 971K 
[   ]xed-lang-3.8.2-r0.apk2025-02-05 22:11 2.1M 
[   ]xed-python-3.8.2-r0.apk2025-02-05 22:11 24K 
[   ]xendmail-0.4.3-r0.apk2024-10-25 19:09 779K 
[   ]xendmail-doc-0.4.3-r0.apk2024-10-25 19:09 2.5K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 19:09 30K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 19:09 5.1K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 19:09 78K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 19:09 2.5K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 19:09 59K 
[   ]xfce4-panel-profiles-1.0.14-r1.apk2024-10-25 19:09 57K 
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2024-10-25 19:09 19K 
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2024-10-25 19:09 44K 
[   ]xfd-1.1.4-r0.apk2024-10-25 19:09 12K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 19:09 4.9K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 19:09 269K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 19:09 2.5K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 19:09 14K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 19:09 3.3K 
[   ]xisxwayland-2-r1.apk2024-10-25 19:09 3.8K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 19:09 2.0K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 18:17 18K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 18:17 2.1K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 19:09 9.8K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 19:09 2.5K 
[   ]xload-1.1.4-r0.apk2024-10-25 19:09 6.0K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 19:09 3.2K 
[   ]xmag-1.0.8-r0.apk2024-10-25 19:09 15K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 19:09 4.7K 
[   ]xml2rfc-3.28.1-r0.apk2025-04-18 11:01 352K 
[   ]xml2rfc-pyc-3.28.1-r0.apk2025-04-18 11:01 407K 
[   ]xmp-4.2.0-r0.apk2024-10-25 19:09 20K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 19:09 5.3K 
[   ]xmpp-dns-0.2.4-r24.apk2025-05-12 07:06 1.9M 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 19:09 15K 
[   ]xone-src-0.3_git20230517-r0.apk2024-10-25 19:09 43K 
[   ]xonsh-0.19.1-r0.apk2025-02-05 22:11 585K 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-05 22:11 1.0M 
[   ]xosview-1.24-r0.apk2024-10-25 19:09 105K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 19:09 12K 
[   ]xsane-0.999-r2.apk2024-10-25 19:09 1.5M 
[   ]xsane-doc-0.999-r2.apk2024-10-25 19:09 4.3K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 19:09 440K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 19:09 58K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 19:09 18K 
[   ]xsoldier-1.8-r2.apk2024-10-25 19:09 65K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 19:09 2.6K 
[   ]xtensor-0.25.0-r0.apk2025-01-15 02:50 267K 
[   ]xtl-0.7.7-r0.apk2024-10-25 19:09 111K 
[   ]xva-img-1.5-r0.apk2024-10-25 19:09 15K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 19:09 15K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 19:09 4.2K 
[   ]xvile-9.8z_p1-r0.apk2025-03-20 01:31 732K 
[   ]xvkbd-4.1-r2.apk2024-10-25 19:09 291K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 19:09 11K 
[   ]xwayland-satellite-0.6-r0.apk2025-06-20 04:10 783K 
[   ]xwaylandvideobridge-0.4.0-r1.apk2024-10-25 19:09 43K 
[   ]xwaylandvideobridge-lang-0.4.0-r1.apk2024-10-25 19:09 11K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 19:09 14K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 19:09 12K 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 22:14 1.0M 
[   ]yaru-common-23.10.0-r2.apk2025-03-13 06:04 4.5K 
[   ]yaru-icon-theme-23.10.0-r2.apk2025-03-13 06:04 35M 
[   ]yaru-icon-theme-bark-23.10.0-r2.apk2025-03-13 06:04 1.0M 
[   ]yaru-icon-theme-blue-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-icon-theme-magenta-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-icon-theme-mate-23.10.0-r2.apk2025-03-13 06:04 1.2M 
[   ]yaru-icon-theme-olive-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-icon-theme-viridian-23.10.0-r2.apk2025-03-13 06:04 1.1M 
[   ]yaru-schemas-23.10.0-r2.apk2025-03-13 06:04 1.8K 
[   ]yaru-shell-23.10.0-r2.apk2025-03-13 06:04 735K 
[   ]yaru-sounds-23.10.0-r2.apk2025-03-13 06:04 676K 
[   ]yaru-theme-23.10.0-r2.apk2025-03-13 06:04 839K 
[   ]yaru-theme-bark-23.10.0-r2.apk2025-03-13 06:04 763K 
[   ]yaru-theme-blue-23.10.0-r2.apk2025-03-13 06:04 765K 
[   ]yaru-theme-hdpi-23.10.0-r2.apk2025-03-13 06:04 73K 
[   ]yaru-theme-magenta-23.10.0-r2.apk2025-03-13 06:04 761K 
[   ]yaru-theme-mate-23.10.0-r2.apk2025-03-13 06:04 727K 
[   ]yaru-theme-olive-23.10.0-r2.apk2025-03-13 06:04 759K 
[   ]yaru-theme-prussiangreen-23.10.0-r2.apk2025-03-13 06:04 760K 
[   ]yaru-theme-purple-23.10.0-r2.apk2025-03-13 06:04 756K 
[   ]yaru-theme-red-23.10.0-r2.apk2025-03-13 06:04 760K 
[   ]yaru-theme-sage-23.10.0-r2.apk2025-03-13 06:04 762K 
[   ]yaru-theme-viridian-23.10.0-r2.apk2025-03-13 06:04 760K 
[   ]ydcv-0.7-r8.apk2024-10-25 19:09 20K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 19:09 11K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 19:09 2.2K 
[   ]yices2-2.6.5-r0.apk2025-03-03 00:14 1.8M 
[   ]yices2-dev-2.6.5-r0.apk2025-03-03 00:14 41K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-03 00:14 675K 
[   ]yodl-4.02.00-r1.apk2024-10-25 19:09 124K 
[   ]yodl-doc-4.02.00-r1.apk2024-10-25 19:09 59K 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-13 22:33 5.7M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-13 22:33 4.5M 
[   ]yosys-0.42-r1.apk2025-06-12 12:11 17M 
[   ]yosys-dev-0.42-r1.apk2025-06-12 12:11 117K 
[   ]youtube-tui-0.8.3-r0.apk2025-05-12 09:17 1.3M 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 41K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 172K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 18:17 50K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 18:17 2.3K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 18:17 78K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 18:17 2.1K 
[   ]yubikey-agent-0.1.6-r12.apk2025-05-12 07:06 1.9M 
[   ]z-1.12-r0.apk2024-10-25 19:09 4.6K 
[   ]z-doc-1.12-r0.apk2024-10-25 19:09 3.9K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 19:09 19M 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 19:09 71K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 19:09 98K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 19:09 2.1K 
[   ]zapzap-6.0.1.8-r0.apk2025-04-14 00:40 109K 
[   ]zapzap-pyc-6.0.1.8-r0.apk2025-04-14 00:40 126K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 19:09 14K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 19:09 6.7K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 19:09 20K 
[   ]zfs-src-2.3.3-r0.apk2025-06-21 14:09 33M 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 19:09 128K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 19:09 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 19:09 101K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 19:09 16K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 19:09 24K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 19:09 5.2K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-14 21:16 16K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-14 21:16 3.3K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-14 21:16 4.0K 
[   ]zizmor-1.9.0-r0.apk2025-06-04 22:56 2.9M 
[   ]zizmor-doc-1.9.0-r0.apk2025-06-04 22:56 2.3K 
[   ]zlevis-1.3-r0.apk2025-03-14 17:31 5.2K 
[   ]zrepl-0.6.1-r11.apk2025-05-12 07:06 6.6M 
[   ]zrepl-bash-completion-0.6.1-r11.apk2025-05-12 07:06 4.5K 
[   ]zrepl-openrc-0.6.1-r11.apk2025-05-12 07:06 1.7K 
[   ]zrepl-zsh-completion-0.6.1-r11.apk2025-05-12 07:06 1.9K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 19:09 16K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 19:09 670K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 19:09 2.8K 
[   ]zutty-0.16-r0.apk2025-01-12 21:01 140K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:01 67K 
[   ]zvbi-0.2.44-r0.apk2025-03-11 20:40 166K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-11 20:40 21K 
[   ]zycore-1.5.0-r0.apk2024-10-25 19:09 18K 
[   ]zycore-dev-1.5.0-r0.apk2024-10-25 19:09 38K 
[   ]zycore-doc-1.5.0-r0.apk2024-10-25 19:09 394K 
[   ]zydis-4.1.0-r0.apk2024-10-25 19:09 203K 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 19:09 61K 
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 19:09 1.6M